Compilation of FPGA 61330 error on a cRIO

Hi all

Currently, I have to rebuild a fpga bitfile to reflect a new hardware configuration on a cRIO. I have installed on my computer, tools that have been able to compile the bitfile several times; but now I get an error when I compile. I have attached two images of the error to this message. I'm currently under this software configuration:

It turns out it was a firewall issue. I had turned off my firewall, but there was a tertiary process still running. I added a few my firewall exceptions, which should allow the program to work, but it didn't. Once I deleted these exceptions, the compiler run with no errors. I think it's time to get a new firewall program. Thanks for all your help.

Tags: NI Hardware

Similar Questions

  • Why do I receive errors of internal software: Terminal Index out of Bounds. Code error-61350, when compiling my FPGA VI for a cRIO-9118 using NI 9223?

    I get the error (in a popup window) when my VI FPGA code in chassis 9118 OR NI 9223 module compiled help.  This error occurs when compiling the process (generating intermediate files, scene 7 of 7). How to solve this error?

    Thank you

    additional information:

    Original error message:
    -------------------------

    Errors:

    Software (s) internal error: Terminal Index out of Bounds.

    :-61350 error code

    Details:

    A terminal with this index does not exist on this block diagram node.
    NiFpgaHandleCallbackError.vi

    «"" "String of full appeal:»»"»
    NiFpgaHandleCallbackError.vi
    niFpgaNodeInformation.lvclass:Get Const Variant.vi
    DfirModGen.lvclass:GetConstant.vi
    niLvFpgaMungerBrainwashIONodeCommon_Dfir.vi
    niLvFpgaMungerBrainwashSingleIOGrowableMethod_DFIR.vi
    nirviEIOMethodImplementation_SpecifyDFIR.vi
    nirviEIOMethodImplementation_SpecifyDFIR.vi.ProxyCaller

    Hi tesa,.

    This is a bug that has been fixed in LabVIEW 2012 SP1. The number of CAR created for this bug is 332811 and as you can see in this link, it is already in the list of bug fixes.

    Carmen C.

  • Internal error OR-farm - impossible to compile the FPGA VI

    Hello!

    When I try to compile any FPGA VI with LabVIEW2013 or 2012 SP1, I get the following error:

    "Year error occurred trying to connect to this server compilation."

    Details:

    Internal error OR the farm: Client Server received unexpected or bad data. »

    Intermediate files are not generated, the error message appears immediately after I have select build of build specification or I click the run arrow.

    I use the local server for compilation.

    The equipment I use: CompactRIO Evaluation Board - SbRIO9636, DELL laptop, Intel core2 Duo T5800, 2 GHz, 3 GB RAM, Windows 7 Ultimate 64-bit, SP1.

    The intermediate files CAN be generated without any problems if I select in the menu. If I run the FPGA application compile worker, a ToolTip message specifying that "FPGA compile runs", but after a few seconds, another message appears: newspaper several attempts were unsuccessful - please see attached images.

    At first, I thought that my firewall (Comodo 6.3) is the reason for this, so I disabled it but nothing changed. Then I tried to fix LabVIEW FPGA and XILINX tools with the firewall disabled but din do not solve the problem. I tried with LabVIEW SP1 of 2012 and 2013.

    If you have any suggestions, please let me know! Thank you!

    We would like that you try to reset the NIAuth database by following these steps:

    1. go to Windows Services (Bring up Windows with Ctrl + Alt + Delete Task Manager, click the Services tab, click the Services button at the bottom right of the window.

    2 stop the NI Application Web Server and NI System Web Server services in this order (right click, stopping service and select).

    2 backup, and then delete all the files under %PROGRAMDATA%\National Instruments\NIAuth: registry.bin and commitmark.

    3. run NI System Web Server and NI Application Web Server services in this order.

    4. navigate to http://localhost:3580 / connection of an IE on the local computer and try to log in with username "admin" and password empty. Succeeds, the server will return one signed in as: message from the admin.

    After you follow these steps, try and connect to the FPGA compiler, and then let me know what are the results.

  • Choose ISE or Vivado Xilinx tools for a specific compilation of FPGA

    Hello

    Is there a way to specify what version of Xilinx Compilation tools to use when compiling an FPGA VI? I want to try the version Vivado tools rather than the version of ISE to see if there is an improvement. I have listed some information on my setup below.

    It's my current setup:
    NI5772 / PXIe7966 digitizer and FPGA
    SMU-1082 chassis
    SMU-PCIe8388 / SMU-PCIe8389 controller
    LabVIEW 2014

    In the past, I used the LabVIEW 2014 FPGA Xilinx tools 14.7 Module to compile my code. But I also want to try the version of Vivado, "LabVIEW FPGA Module Xilinx tools Vivado 2013.4 2014', to see if it gives better results."

    Page-based "compatibility between tools Compilation of Xilinx and FPGA hardware OR" here:
    http://www.NI.com/product-documentation/53056/en/
    Looks like the PXIe7966 FPGA must be compatible with the tools of Vivado 2013.4.

    I tried to uninstall the version 14.7 ISE tools, and install the 2013.4 of tools Vivado (so Vivado 2013.4 tools are the only xilinx tools installed on the computer). But LabVIEW complains that the ISE 14.7 tools are not installed and does not compile the FPGA VI.

    Thank you!
    Michael

    This FPGA is a Virtex-5 FPGA, so you're stuck with ISE.  You need a card FPGA that either uses the architecting chip (I think it was only in cRIOs) or 7 Kintex to use the compiler to Vivado.

  • Code error-63040 on CRIO

    Hello world

    I got this error code following when compiling my FPGA code

    and I move forward without seeing where I made a mistake

    I am connected to my Ethernet controller

    I will be grateful if someone sees what is wrong

    Thanks in advance

    My best regards

    Nadia

    Here is the solution for my problem in the link below

    How to solve error-63040

    Good luck evryrone

    My best regards

    Nadia

  • Impossible to compile the FPGA in project

    Hello

    I get the following error when I am trying to compile an FPGA VI on a Compact Rio 9022, I missing something on my stand in?

    Everyone has seen this before and know what is happening?

    An internal software error occurred. Please contact National Instruments technical support on ni.com/support with the following information:

    7 error error component the generator module VI: NULL

    Possible reasons:

    LabVIEW: File not found. The file may have been moved or deleted, or the path to the file would be incorrectly formatted for the operating system. For example, use- as Windows path separators: on Mac OS, and Linux. Check that the path is correct using the command prompt or file Explorer.
    =========================
    NOR-488: The non-existent GPIB interface.

     

    This looks really familiar.  I know I've seen this error.  I think there is a problem if you upgrade to the latest NOR-RIO, but you don't put at level LV FPGA 8.6.0 to 8.6.1, or something like that.  Would it be, kmoyna?

  • Compilation of FPGA hangs in LV2014SP1

    Hi all

    I've recently updated to LV2014SP1 of LV2014. Since then, I can't compile my FPGA VI locally for a project (cRIO9067), which was done in LV2014. Whenever I try, the compiler will in the step of "logic optimization. Then, after severyl minutes, vivado.exe drops to 0% CPU usage and are still just there indefinitely. After a long period without increasing time CPU for vivado.exe, the worker of compilation obviously restarts the compilation and the same thing happens again. Yesterday I let sit for 4 hours, and when I think about it, vivado.exe had consumed some time CPU 36 minutes and that he was still sitting there with 0% CPU usage.

    When you use a server compilation (still under LV2014), the VI compiles fine from the same project on the same PC! In addition, compilation of other screws FPGA for the same thing and other targets (cRIO9030) works locally.

    The same problem occurred on a second PC that has also been upgraded to 2014SP1. Even up until 2014 with the toolkit and FPGA Xilinx 13.04 time has not helped - I can not always compile.

    Any ideas or similar problems anyone?

    Hey, Jörg,.

    Can you download and install CompactRIO Module Support 14.6?

    We have published a patch for 926 x modules that did not compile with the SP1 build tools exist.

  • compilation of FPGA

    Hello!

    How can I compile a code to the FPGA without hardware. I just want to see something on my FPGA BRAMs. If I compile code to FPGA with actual hardware, it takes too much time.

    Best regards

    HITMANNN wrote:

    If I compile code to FPGA with actual hardware, it takes too much time.

    As long as you have defined your specific material in the LabVIEW project, you can compile your FPGA screw with or without connection, but in all cases, it will take the same time to compile. Compilation of FPGA can be a lengthy process.

  • VB6 SP6 is no longer can compile without receiving an error

    Recently have been unable to change code VB6 and compile without getting an error.

    With the debugger in VB 2010, she points to the CerebusTwo.dll and said that the symbols were not loaded.

    I uninstalled the Office update that was causing the problem with the import of text in Access files, but there is no clear upward the VB6 problem.

    Does anyone know what update is the cause of the VB problem, or maybe a missing update?

    This issue is beyond the scope of this site (for consumers) and to be sure, you get the best (and fastest) reply, we have to ask either on Technet (for IT Pro) or MSDN (for developers)

    If you give us a link to the new thread we can point to some resources it
  • Error compiling Movie.  Unknown error.  Premiere Pro export failed

    Error compiling Movie.  Unknown error.  I get this message when you try to export my calendar to any format.  It exports for a while, then I get this message.  When I try to export using the media encoder it gives me just the sound of sheep.  I'm starting to hate this sheep.

    Does anyone know how to figure out why it throws up to this error message, and that the solution is to go around?  I've lost countless hours trying to figure out a work around.  I can't just scrap the project, it took me weeks.

    I was able to export fine dozens of times before, so I'm not sure it's important that my features are but here goes:

    Custom PC integrated with windows 7pro SP1

    Intel Core i7 - 2600 K CPU @ 3.7 GHz 3.4GHz

    16 GB of ram

    64-bit operating system

    After the implementation of many suggestions here, I was able to export the project 9 min successfully.  I need to take you all at dinner.

    I don't know what suggestions has been around, but I have a feeling that it was a combination of ideas that went around.  Here's a breakdown of what I did to change the project so that it can successfully export:

    1.) reset all stills in motion tab to its original settings & then click right on it in the timeline panel and choose 'to scale to the size of the image.

    2.) replaced all files nested with the original tracks.

    3.) changed the sequence settings (changed under subsection video previews and editing custom Mode > select the File Format as Microsoft AVI, uncompressed Codec Preview.)

    I had to make a new render all previews after changing the settings of the sequence, and I had i/o errors while the process, but Adobe first CC of reboot resolved somehow these errors.  Several times during the editing process of the chronology (based on items 1-3) previously mentioned I had to reboot first due to a loss of the preview screen and inability to make things.  At the end of the day, I could so that he could succeed export so again once I thank you all.  It's a VERY important project for me and I'm serious about you take all this at dinner

  • Error compiling 2010 FPGA - TclTasklC:project_028: unknown property value

    Hi, I use a cRIO 9075 and a NI 9211 to measure the temperature to a project very busy with. Whenever I have try the code for compilation of t I have a compilation error which read as follows:

    Compilation failed due to an error of Xilinx.

    Details:

    ERROR: TclTasksC: project_028:Unknown 'spartan6' property value specified for "PROP_DevFamily."

    Can someone help me on how to solve this error, I'm using LabVIEW 2010 FPGA and Xilinx 11.5

    Concerning

    You are welcome! I am happy to know that everything works correctly!

    Happy Friday!

    Jordan

  • Compilation of FPGA - real formal error on the cost of the port cannot be an expression

    Details:
    ERROR: HDLCompiler:192 - "C:\NIFPGA\jobs\BPO5kq2_O6tyN2U\OC4_Sine_Cosine_LUT_Constant_Amplitude_dash_optimised_vi_c.vhd" line 1408: real formal on the cost of the port cannot be an expression
    ERROR: HDLCompiler:854 - "C:\NIFPGA\jobs\BPO5kq2_O6tyN2U\OC4_Sine_Cosine_LUT_Constant_Amplitude_dash_optimised_vi_c.vhd" line 69: Unit ignored due to previous errors.
    File VHDL C:\NIFPGA\jobs\BPO5kq2_O6tyN2U\OC4_Sine_Cosine_LUT_Constant_Amplitude_dash_optimised_vi_c.vhd ignored errors
    -->

    The compilation happens to step "using the unit felt" but then stops soon after with a compilation error.

    The line in question (1408) refers to the output of a "Reinterpretation FXP" node with the text

    Cost => (others-online '0'),

    in the part of port of the code card.  This is the output of the FXP reinterpret node is directly connected to an indicator in a VI sub whose output is then entered directly at a crossing high multiply node.  The code is part of a cosine sine LUT I programmed.  She used to compile without a problem, but I think I know where is the problem.  In one case, I have used only the sine of output of the algorithm and theory, Xilinx can optimize away from the part of cosine.  I have two instances of this VI in my code and looking at those generating any errors, the output is associated with a cosine indicator.

    Cost-online s_Cosine_2434,

    It seems that the track is essentially optimized away, but the compiler, Xilinx has a problem with the flag being present on the sub - VI but the idnicator is not used anywhere.  As a result, the cost gets set to an invalid value.  I guess close to reinterpret it FXP at the exit of the Subvi is an important aspect of this problem.

    I think I know enough now to fix this (remove the path manually by duplicating the sub - vi), but it may be useful for future bug fixes feedback in the FPGA module.  It is not the first time that this kind of removal of incorrect code me has given problems, but this is the first time I could clearly identify the problem.

    Shane

    Hey Shane,

    Looks like someone filed a bug report on it a month or two ago. It's the CAR # 475397 if you want to check for it in the list bug fixed for 2014 SP1.

  • LabVIEW crashing whenever I try to execute / compile an FPGA VI

    I have a project of RT using a cRIO-9012 / 9112 and every time that I hit on the FPGA VI, the compilation process starts but immediately blocked LabVIEW.  The FPGA code is simple - just a development which is basically copied from the VI example OR for the module OR 9214 starting point.  I have LabVIEW Real-time, LabVIEW FPGA, OR-RIO installed (LabVIEW 2013 32 bit running on 64-bit Windows 7 computer), Xilinx tools 14.4 installed.  The crash error report dialog box is shown below:

    I don't know what it takes then try to diagnose and correct the problem.  Thoughts?

    If it turns out that I had explicitly wired constant I/O device to the i/o method node FPGA and FPGA to IO property under my VI, who, for some reason, was originally the compiler crashes labview.  Remove these constants and in defining the elements of e/s instead in the context menus in right-click for both types of nodes seem to have eliminated the fracas.

  • UDP error 61 the cRIO - but the use of controller memory is < ~ 70%

    Hi all

    I'm having a problem with a program that runs on a cRIO-9074.

    My controller code has 3 parallel processes (for the 3 banks in my controller) that each contain 3 while loops. Reads an array of FXP in a FPGA DMA FIFO values and pushes this table in a queue. The following loop then reads the table from the queue, it throws in a string, split that string in size of the UDP and puts these substrings in a second queue. The final loop reads a substring from the second queue and forwards via UDP.

    Basically, a producer - consumer consumer/producer-architecture.

    Now this works very well in my development version of spaghetti with just a first level vi code. To make things more readable even if I compacted things into subvis, using global variables when it is necessary to connect them.

    My code is executed, but I get frequent errors during the broadcast channels UDP:

    «UDP - 61 error: the system could not allocate required memory.»

    I checked the memory usage from NOR distributed systems manager and my memory cRIO has a pretty decent amount of overhead. The sampling rate reduction - and corresponding memory use - does not make a big difference to the system time can go errors before. Even by reducing the sampling frequency straight down and disabbling that all except a loop (e.g. a Bank), I always get errors.

    How I debug this?


  • Accurate cycle of FPGA simulation error

    Hello.

    I have problems simulating an FPGA vi with a third party Simulator.

    I took the example of the tutorial found at http://zone.ni.com/devzone/cda/tut/p/id/12942 , but I get the error of dialogue (see attachment) during the construction of the export of the simulation.

    I put in the LabVIEW options the Simulator to ISim.

    The text in the details section of the dialog error box is:

    Error-61499 occurred at niFpgaSimulate_GenerateCompileOrder.vi<><><>

    Possible reasons:

    LabVIEW FPGA: An internal software error in the LabVIEW FPGA Module has occurred.  Please contact National Instruments technical support on ni.com/support.

    There was an error in the compile command generation. The file that caused the problem is... / niFpga /... / niFpga/PkgNiFpgaSimulationModel.vhd. For more information, see compileOrder.log in the directory of niFpga simulation. The error information is less to:

    Line 53: Syntax error near ', '.
    ERROR: HDLCompiler:854 - "... / niFpga /... / niFpga/PkgNiFpgaSimulationModel.vhd" line 13: Unit ignored due to previous errors.
    ERROR: HDLCompiler:688 - "... / niFpga /... / niFpga/PkgNiFpgaSimulationModel.vhd" line 65: pkgnifpgasimulationmodel statement Package is not yet compiled
    ERROR: HDLCompiler:69 - "... / niFpga /... / niFpga/PkgNiFpgaSimulationModel.vhd" line 73: is not declared.
    ERROR: HDLCompiler:69 - "... / niFpga /... / niFpga/PkgNiFpgaSimulationModel.vhd" line 79: is not declared.
    ERROR: HDLCompiler:69 - "... / niFpga /... / niFpga/PkgNiFpgaSimulationModel.vhd" line 81: is not declared.
    ERROR: HDLCompiler:69 - "... / niFpga /... / niFpga/PkgNiFpgaSimulationModel.vhd" line 77: is not declared.
    ERROR: HDLCompiler:69 - "... / niFpga /... / niFpga/PkgNiFpgaSimulationModel.vhd" line 89: is not declared.
    ERROR: HDLCompiler:69 - "... / niFpga /... / niFpga/PkgNiFpgaSimulationModel.vhd" line 90: is not declared.
    ERROR: HDLCompiler:69 - "... / niFpga /... / niFpga/PkgNiFpgaSimulationModel.vhd" line 95: is not declared.
    ERROR: HDLCompiler:617 - "... / niFpga /... / niFpga/PkgNiFpgaSimulationModel.vhd" line 95: nearby; prefix must designate a scalar or array type
    ERROR: HDLCompiler:69 - "... / niFpga /... / niFpga/PkgNiFpgaSimulationModel.vhd" line 98: is not declared.
    ERROR: HDLCompiler:69 - "... / niFpga /... / niFpga/PkgNiFpgaSimulationModel.vhd" line 100: is not declared.
    ERROR: HDLCompiler:69 - "... / niFpga /... / niFpga/PkgNiFpgaSimulationModel.vhd" line 102: is not declared.
    ERROR: HDLCompiler:69 - "... / niFpga /... / niFpga/PkgNiFpgaSimulationModel.vhd" line 104: is not declared.
    ERROR: HDLCompiler:69 - "... / niFpga /... / niFpga/PkgNiFpgaSimulationModel.vhd" line 97: is not declared.
    ERROR: HDLCompiler:69 - "... / niFpga /... / niFpga/PkgNiFpgaSimulationModel.vhd" line 107: is not declared.
    ERROR: HDLCompiler:69 - "... / niFpga /... / niFpga/PkgNiFpgaSimulationModel.vhd" line 110: is not declared.
    ERROR: HDLCompiler:69 - "... / niFpga /... / niFpga/PkgNiFpgaSimulationModel.vhd" line 113: is not declared.

    Using LabVIEW 2011 (Xilinx tools 12.4) on Windows 7 Pro 64 bit.

    Any help would be appreciated.

    Thank you.

    Hello

    It seems that there is an article in the knowledge base that describes the error you see.  Let me know if it solves your problem.

    Error-61499 took place at niFpgaSimulate_GenerateCompileOrder.vi

    See you soon,.

    Ryan

Maybe you are looking for

  • Weird update request

    Hello I get a message through windows telling me there is an error with Firefox, and I am asked to click on a link to get an update to fix the error. I use Firefox for quite a few years and never saw this kind of request or had errors like that, so w

  • RAM and SSD for my new Acer Aspire R7 752-5893

    Suggestions for the type of RAM and SSD memory for my new Acer Aspire R7 752-5893? TX in advance.

  • Send to customization

    Hi, I want to do a "Create a shortcut" option in the menu send to, which does the same thing as "desktop (create shortcut), except that it made a shortcut in one specific location other than the office. How can I do this?

  • steps on the computer in the domain to remove

    Hello! Can someone give me steps or info on how exactly to rename a computer on a network. for example, at work, I had to set up a pc for a user to work. already, this person has a profile and is in active directory, so now I'm a little fuzzy on what

  • Dynamic box of database results

    Hello I create dynamic checkboxes based on a read to sqlite database.  I am able to get the data and the number of boxes is being created, but I'm having a problem populating the labels from the boxes.  This is the section of code that gets the resul