Conversion of a NPN signal to a signal of 0/5V TTL

I'm trying to connect a Photosensor Omron DAQ NI9401 carte.  The photoelectric sensor is a signal of low voltage NPN.  In my view, there is a way to build a circuit which allows to convert the signal of NPN signal 0/5V TTL necessary.  However, I'm not an electrical engineer and has exhausted my limited knowledge.  The model number of the sensor is the EA-SX672A and the data sheet can be found at http://www.fa.omron.co.jp/data_pdf/cat/436_en.pdf

If anyone has any ideas, it would be greatly appreciated.


Tags: NI Hardware

Similar Questions

  • Count the edges of the 2 signals TTL (Heidenhain linear scale)

    Hi all

    This is my first post here. :-)

    Currently, I'm doing a VI to be used with a linear scale. The linear scale gives 2 TTL signals that have an offset of 90 °. The change in distance of the linear scale is given by counting the fronts and edges of the two signals. See the following image: Ua1 is signal 1 and signal 2 Ua2. You can ignore the other signals.

    Now, I want to count the 4 edges in order to translate the 2 signals in the distance. This means that I need advanced two counters for Rising-rising, Rising-Falling Falling Falling, falling on the rise for 2 signals. I tried to do 4 points two counters in LabVIEW but that of course does not work, because an acquisition of data can access the card TTL or I did it wrong.

    Once I have to handle this, I also want to understand the meaning.

    My card TTL: NI 9402

    My electronic Heidenhain interface: 100 IBV (http://www.heidenhain.com/fileadmin/pdb/media/img/598_160-23.pdf - also at the origin of the image)

    Hardware configuration: linear scale-> IBV (Elektronic Interface)-> NI 9402-> LabVIEW

    Signals: Analog 3-> 3 TTL-> OR 9402

    I hope I do not double post. Any help would be greatly appreciated.

    I used Heidenhain linear scales in many applications.

    As stated in the previous post, the output of your balance is as a quadrature encoder. Therefore, you must use an entry of the DAQ card counter to measure the position of the scale.

    The desired X 4 mode is done by the meter itself (not possible with some old maps of OR).

    As starting point, see measure angular Position.vi that comes with examples of LabVIEW. On your linear scale, change the type of the polymorphic DAQmx create channel VI CI linear encoder and etiquette of pulses per revolution at a Distance by pulse.

    Feel free to post back if you need further assistance.

  • Firefox abandons his silently http request within 60 seconds

    • Summary

    Firefox abandons its http request in nearly 60 seconds (period till)
    waiting time varies) and says nothing to users. This has happened at a time of
    Nginx and Apache.

    • Environment

    Client browser: Firefox 35.0.1
    Client OS: Windows 7 Enterprise 32-bit SP1
    Web server: Apache 2.2.3 - 91 & 1.6.2 - 1 of Nginx
    Server operating system: CentOS 5.11
    PHP: 5.3.3
    FastCGI: spawn-fcgi(3) - 1.6.3 - 1

    • Description of the problem

    I noticed that nginx record 499 http response code so often.

    Nginx is used almost only to two web applications, one based on PHP
    and others on ruby. We encounter this problem in both applications. The server and the client PC belong to the same subnet.

    Since last month, nginx logged 624 errors for Firefox and 16 others
    browsers (IE, almost).

    For the test, so I wrote a simple PHP (see bottom of article) and I tried to access
    Thanks to these two Apache and Nginx with 35.0.1 Firefox and IE 11. IE11
    waited for 120 seconds and returned html successfully through two nginx
    and Apache, but Firefox has failed or the other.

    Let me describe the behavior of Firefox.

    1. Enter the test php url in the address bar, and then press ENTER.
    2. the message "waiting for response from the server" appears in the status bar for a while.
    3. watch the conversation network through Wireshark, signals 'Keep Alive'
    are repeated several times between server and client, and after that,
    4. the message disappears. 'END' signal is sent to the server, the client, just after that. Firebug newspapers 'Aborted' to the almost at the same time.
    5. the responses from the server ' END ACK.

    There is a difference of bit with nginx and Apache behavior after ' END
    ACK "."

    A.Nginx

    Nginx logs '499' error and end the conversation immediately.

    B.Apache is not connect anthying at this stage. After 120 seconds (sleep timer
    in PHP) of the request, it returns html expected. Of course Firefox doesn't make it, but I could confirm both request and response of the "Follow TCP Stream" of Wireshark function. Finally, it is saved with the http status code 200 to the httpd log file.

    I repeated this test several times. Actual expiration time varies from 22
    70 seconds but the results are the same.

    To my knowledge, the browser should display "timeout error".
    After such a situation, but it only shows nothing. If the end user does not
    find out what happened.

    ---

    • What I tried to solve the problem
    • Disable all other than firebug extensions.
    • 'network.tcp.keepalive.enabled' set to false in: config.
    • the value "network.http.spdy.enabled" fake, too.
    • the "network.http.response.timeout" value 0.
    • Mobile IPV6 and DNS prefetch, seeing what follows to help.

    https://support.Mozilla.org/en-us/KB/websites-Don

    • past Timeout to 0 to http.conf to apche.

    My goal is to make our web applications to work correctly in our work environment. I have recommended Firefox to colleagues because it was a very cool application. Change the default brawser is a nightmare for me. Any suggestions are welcome.

    ---
    < php test >
    Sleep (120);
    echo time();

    Dear jscher2000

    I saw your site with my Firefox twice and there is no expiration time. After 120 seconds, Firefox has made text "sleep 120 seconds before generating the HTML."

    If this isn't the problem in Firefox, but our network or server or both of them.

    Thanks to you all. I will try to pinpoint the cause of our problem.

  • Digital and analog gain in Script mode

    Hello.

    5422 module can change the voltage Vp-p order of 05:54 V.

    But when I use the property node - digital Gain, after setting the 1.1 V and return to its previous value (V 1.0) occurs the following error:

    And when generating a signal of amplitude of 1.1 V signal very distorted.

    In niFgen configure Arbitrary Waveform VI it is a Gain parameter to control the standard signal (which I understand). Is there something similar for the Script mode?

    How to access the analog Gain?

    And in general, how to properly use the analog and digital gain in Script mode?

    I apologize for possible errors, but the example is not yet complete.

    Max O.

    Developer of software and engineering,

    TeSLa.

    Hi max_i,.

    Since the ownership of digital Gain help file:

    "Specifies a factor by which the digital signal generator multiply data generated before the conversion of an analog signal in the CAD." Saving digital greater than 1.0, the product digital time gain the data generated must be in the range ±1, 0 (assuming that the floating point data). If the product exceeds these limits, the signal generator cuts out the output signal, resulting in an error. »

    Digital gain requires the data, being always standard-1 to 1V.  The output of 'Ladder' to 'normalise Waveform.vi' here is generally superior to 1, which causes this error 250035.  If you search for the property similar to the entry of 'Gain' on the ' configure Arbitrary Waveform.vi ', I advise to use the 'Gain' on the tab 'Arbitrary signals' property in the property node.

    Looking at your code, it seems that you try to build pretty standard signals (sine signals).  Is that this will change in the future to more complex waveforms?  If not, I wouldn't recommend watching one of the examples in the example LabVIEW finder, I find "Sequence of Arb basic Fgen" quite useful.  If you want to make scripts as well, I would recommend the example "Fgen Arb Script".

    Thank you

    David B

    National Instruments

    Technical sales engineer

  • change output unique to the differential output end coder

    I have single-end encoder. How can do it? change of single-end to the differential output.

    Thank you

    Oops... should read 'a circuit of converter more contained in the AM26LS31' instead of 'second half '. The AM26LS31 contains four circuits TTL converter for the conversion of the RS485 signal.

    It is not necessary to connect unused inputs by the pull up resistors to the + 5V or directly to GND, the AM26LS31 resistance on pull-up on all inputs.

  • Min/max to accumulate dasylab peaks? Is this possible?

    In collaboration with Dasylab 10.  I'm looking to collect peaks min/max on a form of sinwave we will run.  Is this possible?  If so, how?  Or I have to just to oversample and sift through data?

    Thank you!

    Select this option.

    Use the statistics module called Minimum / Maximum.

    This will display the value (you choose minimum, maximum or both), or will display a TTL signal, with a peak TTL (5V) to record highs.

    Adjust the hysteresis value to avoid detection from noise and spikes, or use a moving average to smooth out the signal.

  • Redeclenchables analog input

    Hello!

    I am a new user of Labview.

    Now, I'm writing a VI that allows to redeclenchables input analog on a single channel. I think that this VI works well, since I'm just one of the examples. But I want to change this VI to add "zero" during high or low data. For example, if the triger signal is sort of TTL, the VI reads the entry when LIFE expectancy is high. When life is low, the VI can read zeros.

    You have an idea?

    Thank you in advance.

    photonics5 wrote:

    Hello!

    I am a new user of Labview.

    Now, I'm writing a VI that allows to redeclenchables input analog on a single channel. I think that this VI works well, since I'm just one of the examples. But I want to change this VI to add "zero" during high or low data. For example, if the triger signal is sort of TTL, the VI reads the entry when LIFE expectancy is high. When life is low, the VI can read zeros.

    You have an idea?

    Thank you in advance.

    I would try using a break http://decibel.ni.com/content/docs/DOC-12256

    If this does not work and your trigger signal is TTL, you can acquire two signals permanently and multiply. As already said you should put your code, we do not know what example you used.

    Ben64

  • Generate trains of pulses overlap several

    I need to generate signals up to 8 TTL pulse, train up to 500 Hz with heavy duty from 0 to 100% open and close the valves with an accuracy of timing of 5 microseconds.  The pulse trains will be spread with equal delays and begin with a single trigger.

    i.e.: If you have a period of 40ms and 8 valves, there will be a 5ms delay between each pulse train early. Each will have the same duty cycle and the period.

    Trains of pulses must be performed simultaneously and stop when certain data criteria is met (the balance of the system state).

    My Questions:

    1 would be classified these as continuous or finite pulse trains?

    2 do I need to use a counter/timer (or 2) for each train of pulses or can it be coupled with digital output?

    3. what DAQ will have sufficient resources (counters/timers, DIO, etc.) to enable this work?

    Thank you

    1 continues.  The idea of pulse trains finished is to predefine * precisely * how many cycles to generate.  You will make assessments based on the software to decide whether to stop the pulse trains, but you will not be able to predict when this will happen in advance.

    2. all things being equal (and it's not often), I could certainly do that with counters.  You can easily reach the accuracy of your calendar and let the data acq hw do all the work.  DIO can be an option, but it could prove to be a pain in the neck to set the output buffer to handle delays including and precision, you need.

    3. I'd go with an oldie but goodie, the PCI-6602.   It has 8 available counters, as well as a little extra DIO.

    -Kevin P

  • Conversion of a microphone to a level signal level signal line.

    Friday, June 24, 2016

    Re: My computer: Mac mini (mid-2010)

    The model number is A1347

    Very well. I wonder if the community of Apple Support can help me with this problem.

    I bought a version a SADES 810 Gaming Headset which is supposed to be compatible with Mac in 2016. I tried to plug the headphones on my Mac mini in the hope that I would be able to have conversations using a magic Jack. While I hear people talking to me perfectly clear with the magic Jack, they did not intend to talk into the microphone of the headset.

    I tested the helmet with a chip "phone and the microphone and the headphones worked well." Thus, the microphone is not defective. I discovered that my Mac mini to model requires a microphone level line. The microphone on the "2016 new Version for PS4 SADES SA - 810 Gaming PC Headset Headset" is not level line, it seems, but the lower level of the microphone. Thus, the microphone of the headset is not turn off force, that he needs to work in making the Mac mini line level microphone.

    On a site I saw this quote:

    "Mic Level is the amount of signal you get a microphone. It is much lower than the level of the line and therefore need to be stimulated by a preamp to be usable in a recording situation. »

    What I need is the gadget that will allow me to connect the microphone level 3.5 mm headphones microphone, on my Mac mini, which will convert then the microphone level low in a line level signal, when plugged (at the level of the line) microphone jack/plug of the Mac mini. Is there such a gadget?

    T he one or two 3.5 mm Sockets?

    The headphone jack on the Mac should include a contact for a microphone. To check see it:

    How to use the Apple earphones with remote and mic with your Mac - Apple Support

  • Formula for conversion signal strain gage

    Dear forum users and employees of OR,.

    I'm fighting to check a formula used by DAQmx and LabVIEW for the conversion of a signal pledge of strain to the mechanical solicitations.
    Formula for strain is "eps" (type of full-bridge III: 4 elements, 2 x 2 in the same sense sequence):

    SPE =-2 * Vr / (GF * [(nu + 1) - Vr * (nu - 1)])

    See also '10. Full bridge III"here: http://www.ni.com/white-paper/4172/en/#toc10

    But if I try to reproduce this formula based on figure 1-15 in the page web related, I can simply this:

    VR = Vch/Vex = 1 / 4 * GF * (- nu * eps + eps - nu * eps + eps)
    => eps = 2 * Vr / (GF * [1 - nu])

    So either I make a mistake, either the formula given is faulty. The other formulas on the linked web page didn't quite work for me neither.
    As reference, take a look at this: "full bridge, 2 grids of longitudinal-2 cross, second to the last entry on this page:

    me-system/dms-bridge

    The formula NOR mentioned can be found here as well:

    NOR: Full-bridge Type III

    NOR: LabVIEW 2013 help Convert gauges read VI

    The formula I got for "eps" was posted by the employee OR in a different context (with a negative sign):

    post on the Forum

    Can someone there explain further?

    Good day!

    Hi Wimba,

    the formula that you describe is for folding (Type II) and not for the axial deformation of (Type III).

    You must take into account that the voltage should be descriebed in the form of the restistors report.

    See the Wheatstone bridge for that.

    Hope that helped.

    Anna

  • Amplified - Thermocouples - Conversion of signals?

    Hello, I have a question about reading the signal amplified thermoocuples. My thermocouples (type K) will be amplified 100 times signal. The thing is, I am unable to use built in option in the daq Assistant to get the good reading. Because of the amplifiers (those with differential external measurment with three leveled low pass filter with the Cup at the level of 10 Hz (circa)), my thermocouples will work as a simple base (SE).

    My question is: I am able to get the temperature reading in simple steps (daq Assistant) or should I use the acquired signal voltage type and then use a kind of conversion?

    I'm looking forward to seeing no response

    P.S. I found the block-> Convert thermoocuple reading. Must I use it on all channels, or can - I just it wire somehow to the output of data acquisition?


  • output signals of the rectangle a PEAK sine wave conversion

    Hello

    I have a question on the treatment of a PIC16F84 output signals. It seems that the simulation of Multisim does not work properly - but before I blame Multisim, I ask the community NOR or software engineers or a solution. Because I'm German, you are invited to continue this thread in German if it is allowed by the rules of the forum. If you need additional information to analyze my problem, I'll be happy to provide.

    The circuit itself has to convert "composition by pulse" signals "tone" (DTMF tones). So you can get old, classic phones work on new devices that do not support the "composition of pulse" more.

    The circuit is powered by the analog telephone line current loop line. The PIC is provided by a rudimentary voltage regulation and count pulse signals (voltage failures / power interruption on the telephone line). After that the captain means the series of impulses in their equal number (e.g. 3 pulses = number 3). The captain gives finally two signals with different frequencies to generate a DTMF tone (e.g. number 3 here is 697 and 1477Hz). As you can see in my PDF file attached, it works very well.

    Now I have to convert the rectangle wave given by the captain to an at least similar to a sine wave form - otherwise the device that receives the DTMF tones won't understand them.

    So I connected a low-pass filter at the output of the PIC. Now, expect the rectangle signal to be smooth in a way as the 'e-function' will (loading / discharging a capacitor through a resistor). But the results are very far from that - as you can see I have very strange curves.

    When I implemented a frequency generator with the same output signal as the PEAK and the low pass filter even I get curves as expected.

    So we can say that the output of the PIC works like a frequency generator in my circuit. But why does the filter not behave as it should?

    I've tried a lot of different values for the parameters of my RC-filter and simulation - this does not solve the problem.

    It would be nice if someone has any idea how to solve this problem.

    Thank you.

    The output impedance of the PEAK may be too high. May be that my car 50 output? Try scaling of impedance of the filter.  Do the 10000 ohms resistance and capacitor 10 nF.

    Lynn

  • Beginner: Conversion of signals in response

    Hello, I'm new on this and could not find sufficient support inside LabView or other messages here.

    Since I got it, it's that I need step response Control Design VI.

    It appears to require State-Space model it seems that I have to convert my signals oscilloscope in matrices.

    This does not however, and I'm afraid that I might not even have the right approach. What should I do to make it work correctly?

    Thanks for the help!

    Attachment: Photo of block diagram

    Chris

    Your connection is meaningless. The "Create State-Space" requires you to give the 'model' format 'State Space '. The Signal from your acquisition is not that. If you are really looking to convert a generic signal in a model, you must use the "System Identification Toolkit', which should be available under 'Treatment of Signal' or 'Control and Simulation' palette if you have installed. Here, you will need to use the 'SI estimate transfer function Model' or 'model to estimate State - Space SO"to get the model, use the" IF convert to CDT models "to convert the model with noise deterministc model used by Control Design and the 'step CD response' to calculate the step response of the model. Who should provide the information says that you are looking for.

    Now notice that if you are able to control the excitement of your system, then you could simply generate a 'step' in input signal and measure the strength of the signal and looking at the answer, then you should be able to get the response of the system directly...

    hope this helps

  • conversion issues dynamic data in dbl/Boolean to a simulated signal

    Hello world

    I try to just do simulated flashing of the LED with a square wave. It has been 3 hours I'm looking why the does'nt of convertion of dynamic data that is updated at each iteration (it seems that the problems).

    I tried with all the options of the object to "convert", but I could not (I'm desperate)

    in the attached .vi, I chose "the most recent value.

    could have a peek at my .vi?

    Thank you very much

    Guillaume.


  • Best way to generate signals of activation (square wave) with my 9401 on my 9022?

    Hi, I tried seriously over the past two days to find the best way to do it. I am trying to generate a very precise square wave, controlling the duty cycle and frequency, with the OID on the 9401 in testbed cRIO 9022.

    I have a VI that is theoretically able to do this, but whenever I try to go above 5 Hz or more, duty cycle and frequency becomes inaccurate (I have watch on an oscilloscope), various a lot too for my needs. I have a feeling that this is caused by my addiction on the calendar software controlled, with errors at the time (of the ms order) accumulate as they get processed and the signal is sent. I have attached a piece of code that illustrates the basic idea of what my VI have in them.

    I have avoided the square wave generators integrated because I could never work to satisfaction, but I can work with them so that will solve my problems. Selection structures and cases prevent the user to exaggerate their inputs. Unwaited so the loop was just to test.

    I'm running the 9022 as target in real time, but also tried to run in the FPGA and I was able to produce much more accurate signals using FPGA VI square wave, displaying a Boolean variable, but I couldn't see the best way to get double precision variables to work with everything (and I want more precision than variables FXP enabled clock 40 MHz).

    I feel there is just a mistake in my approach here. I've seen other discussions where people throw around using meters to edge of the test bench to produce a square wave, and I see the example screws as Gen dig pulse - continuous Train, I'm not sure if initially these screws DAQmx for my situation (eg. How to identify my counters, because they are clearly not Dev1/ctr0 by default in these examples)

    Thank you

    Dealing with the representation of Point fixed and all is a reality for LabVIEW FPGA<= 2011="" programmers.=""  you="" might="" build="" a="" small="" sub="" vi,="" such="" as="" the="" one="" attached,="" to="" encapsulate="" the="" frequency="" calculation,="" thereby="" abstracting="" the="" conversion="" formula="" and="" fixed="" point="" data="" type.=""  you="" can="" adjust="" the="" properties="" of="" the="" floating="" point="" input="" control="" to="" accept="" only="" valid="">

    This implies the series VI void on the host of the RT, and not on the FPGA target.  So, you also need nodes in the Palette of the FPGA Interface to send PWM fixed Point RT frequency to the FPGA.  The complete solution of frequency may resemble the following.  It is common for FPGA programmers to build a collection of thesesub screw, that make up the API for hardware.

    Note that 40 MHz is hard-coded.  For increased flexibility, consider making the FPGA clock rate an entry to the Subvi with a default value of 40 MHz.

    -Steve

Maybe you are looking for