ERROR: HDLCompiler:69

Hello

I'm trying to compile code for an FPGA on a 7965R FlexRIO. This works very well for the default clock frequency (40 MHz).

I would use a higher rate of good, but in this case, a compilation error occurs:

ERROR: HDLCompiler:69 - '\NIFPGA\jobs\IgEJ5Z6_rYTw6YC\Interface.vhd' line 172: is not declared.
ERROR: HDLCompiler:69 - "\NIFPGA\jobs\IgEJ5Z6_rYTw6YC\Interface.vhd" line 173: is not declared.
ERROR: HDLCompiler:69 - "\NIFPGA\jobs\IgEJ5Z6_rYTw6YC\Interface.vhd" line 174: is not declared.
ERROR: HDLCompiler:69 - "\NIFPGA\jobs\IgEJ5Z6_rYTw6YC\Interface.vhd", line 175: is not declared.

I searched the forums for this issue and it seems that people solved the problem by changing the decimal sign in Windows. It has not helped.

Some claim, they have had to rebuild the project, which has not helped either.

Any suggestions?

I hope that I made my problem clear but do not hesitate to ask questions.

I'm using LabVIEW 2011

Thank you!

OK, it's now the code compiled without errors. The trick is to define a different top-level clock in the FPGA - properties of target FPGA project.

Sorry for wasting time in person!

Tags: NI Hardware

Similar Questions

  • Xilinx Compilation error: Formal HDLCompiler:432 < eiosignal > has no real or default value

    Hello

    I've compiled several programs for sbRIOs previously but did not run in before compile errors. I can't find any support to see what is actually wrong. Any help with this would be appreciated!

    The summary of the situation of the Compilation is:

    LabVIEW FPGA: Compilation failed due to an error of xilinx.

    Details:
    ERROR: HDLCompiler:432 - "C:\NIFPGA\jobs\R6n310u_Z1R8lYC\NiFpgaAG_00000031_SequenceFrame.vhd" line 87: Formal has no real or default value.
    INFO: TclTasksC:1850 - enforcement process: synthesize - XST is made.
    INFO: HDLCompiler:1408 - "C:\NIFPGA\jobs\R6n310u_Z1R8lYC\NiFpgaAG_00000032_CustomNode.vhd", line 18. eiosignal is declared here
    ERROR: HDLCompiler:432 - "C:\NIFPGA\jobs\R6n310u_Z1R8lYC\NiFpgaAG_00000031_SequenceFrame.vhd" line 106: Formal has no real or default value.
    INFO: HDLCompiler:1408 - "C:\NIFPGA\jobs\R6n310u_Z1R8lYC\NiFpgaAG_00000033_CustomNode.vhd", line 18. eiosignal is declared here
    ERROR: HDLCompiler:432 - "C:\NIFPGA\jobs\R6n310u_Z1R8lYC\NiFpgaAG_00000031_SequenceFrame.vhd" line 125: Formal has no real or default value.
    INFO: HDLCompiler:1408 - "C:\NIFPGA\jobs\R6n310u_Z1R8lYC\NiFpgaAG_00000034_CustomNode.vhd", line 18. eiosignal is declared here
    ERROR: HDLCompiler:432 - "C:\NIFPGA\jobs\R6n310u_Z1R8lYC\NiFpgaAG_00000031_SequenceFrame.vhd", Line 144: Formal has no real or default value.
    INFO: HDLCompiler:1408 - "C:\NIFPGA\jobs\R6n310u_Z1R8lYC\NiFpgaAG_00000035_CustomNode.vhd", line 18. eiosignal is declared here
    ERROR: HDLCompiler:432 - "C:\NIFPGA\jobs\R6n310u_Z1R8lYC\NiFpgaAG_00000031_SequenceFrame.vhd" line 163: Formal has no real or default value.
    INFO: HDLCompiler:1408 - "C:\NIFPGA\jobs\R6n310u_Z1R8lYC\NiFpgaAG_00000036_CustomNode.vhd", line 18. eiosignal is declared here
    ERROR: HDLCompiler:432 - "C:\NIFPGA\jobs\R6n310u_Z1R8lYC\NiFpgaAG_00000031_SequenceFrame.vhd" line 182: Formal has no real or default value.
    INFO: HDLCompiler:1408 - "C:\NIFPGA\jobs\R6n310u_Z1R8lYC\NiFpgaAG_00000037_CustomNode.vhd", line 18. eiosignal is declared here
    ERROR: HDLCompiler:432 - "C:\NIFPGA\jobs\R6n310u_Z1R8lYC\NiFpgaAG_00000031_SequenceFrame.vhd", line 201: Formal has no real or default value.
    INFO: HDLCompiler:1408 - "C:\NIFPGA\jobs\R6n310u_Z1R8lYC\NiFpgaAG_00000038_CustomNode.vhd", line 18. eiosignal is declared here
    ERROR: HDLCompiler:432 - "C:\NIFPGA\jobs\R6n310u_Z1R8lYC\NiFpgaAG_00000031_SequenceFrame.vhd" at line 220: Formal has no real or default value.
    INFO: HDLCompiler:1408 - "C:\NIFPGA\jobs\R6n310u_Z1R8lYC\NiFpgaAG_00000039_CustomNode.vhd", line 18. eiosignal is declared here
    ERROR: HDLCompiler:854 - "C:\NIFPGA\jobs\R6n310u_Z1R8lYC\NiFpgaAG_00000031_SequenceFrame.vhd" line 50: Unit ignored due to previous errors.
    File VHDL C:\NIFPGA\jobs\R6n310u_Z1R8lYC\NiFpgaAG_00000031_SequenceFrame.vhd ignored errors

    -->

    Total memory use is 189944 kilobytes

    Number of errors: 9 (0 filtered)
    Number of warnings: 4 (filtered 0)
    Number of news: 0 (0 filtered)

    "Synthesize - XST" process failed

    I had similar errors (not real or by default) then compile using the code LV would not remove, but the compiler Xilinx would be due to determine it was unused.  It is usually inaccessible code with a register read in the main loop, but write it to enroll in a deal structure that would not be executed.  Differences in the ability of the LV compiler or compiler of Xilinx to decide what is safe to delete this could lead.

    Other times we had errors when a clock has been specified in the file of constraints, but the clock was not used.

    Maybe one of these two seemingly unrelated points might help.

    Shane.

  • Compilation failed due to an error of Xilinx.

    I've recently updated since LV2014 until 2015, and an FPGA VI who previously compiled successfully is no longer made. My goal is a 7951R and I use a module e/s of 6584. A few minutes in the compilation process, I get the below error. I found the White Book of OR describing this problem for 2010 and provide a patch, but this solution has failed for me. Curiously, I can succeed in the compilation of FPGAS screws very simple, but not more complex that were previously viable.

    LabVIEW FPGA: Compilation failed due to an error of Xilinx.

    Details:
    ERROR: HDLCompiler:432 - "C:\NIFPGA\jobs\ODf62Gx_H0cwa34\NiFpgaAG_0000023b_ForLoop.vhd" line 55: Formal has no real or default value.
    INFO: HDLCompiler:1408 - "C:\NIFPGA\jobs\ODf62Gx_H0cwa34\arrayLpIndx_593.vhd", line 22. array_in is declared here
    ERROR: HDLCompiler:854 - "C:\NIFPGA\jobs\ODf62Gx_H0cwa34\NiFpgaAG_0000023b_ForLoop.vhd", line 24: Unit ignored due to previous errors.
    File VHDL C:\NIFPGA\jobs\ODf62Gx_H0cwa34\NiFpgaAG_0000023b_ForLoop.vhd ignored errors
    -->

    Total memory use is 239156 kilobytes

    Number of errors: 2 (filtered 0)
    Number of warnings: 11 (filtered 0)
    Number of news: 0 (0 filtered)

    "Synthesize - XST" process failed

    Compile time
    ---------------------------
    Introduction date: 04/12/2015-16:36
    Date recovered results: 04/12/2015-16:38
    Waiting time in the queue: 00:09
    Compilation of time: 01:44
    -Generate a Xilinx IP: 00:00
    -Estimate of the resources - PlanAhead: 00:33
    -Summarize - XST: 00:56

    Okay, that was weird.

    I discovered there was a small change between revisions. I had wrong initalized a table by exchanging the entries, so that the size of the array is 0 instead of n. normally, this should be taken at the beginning of the compilation, but this table null was used to initialize a shift register in a while loop and the exit from the while loop enters a loop, where my FIFOs operate. While the loop/shift register seems to be the essential element to the compilation of progress as he did and present it as a mistake of Xilinx. If you delete the while loop then the error is detected quickly and correctly identified.

  • Compilation of FPGA - real formal error on the cost of the port cannot be an expression

    Details:
    ERROR: HDLCompiler:192 - "C:\NIFPGA\jobs\BPO5kq2_O6tyN2U\OC4_Sine_Cosine_LUT_Constant_Amplitude_dash_optimised_vi_c.vhd" line 1408: real formal on the cost of the port cannot be an expression
    ERROR: HDLCompiler:854 - "C:\NIFPGA\jobs\BPO5kq2_O6tyN2U\OC4_Sine_Cosine_LUT_Constant_Amplitude_dash_optimised_vi_c.vhd" line 69: Unit ignored due to previous errors.
    File VHDL C:\NIFPGA\jobs\BPO5kq2_O6tyN2U\OC4_Sine_Cosine_LUT_Constant_Amplitude_dash_optimised_vi_c.vhd ignored errors
    -->

    The compilation happens to step "using the unit felt" but then stops soon after with a compilation error.

    The line in question (1408) refers to the output of a "Reinterpretation FXP" node with the text

    Cost => (others-online '0'),

    in the part of port of the code card.  This is the output of the FXP reinterpret node is directly connected to an indicator in a VI sub whose output is then entered directly at a crossing high multiply node.  The code is part of a cosine sine LUT I programmed.  She used to compile without a problem, but I think I know where is the problem.  In one case, I have used only the sine of output of the algorithm and theory, Xilinx can optimize away from the part of cosine.  I have two instances of this VI in my code and looking at those generating any errors, the output is associated with a cosine indicator.

    Cost-online s_Cosine_2434,

    It seems that the track is essentially optimized away, but the compiler, Xilinx has a problem with the flag being present on the sub - VI but the idnicator is not used anywhere.  As a result, the cost gets set to an invalid value.  I guess close to reinterpret it FXP at the exit of the Subvi is an important aspect of this problem.

    I think I know enough now to fix this (remove the path manually by duplicating the sub - vi), but it may be useful for future bug fixes feedback in the FPGA module.  It is not the first time that this kind of removal of incorrect code me has given problems, but this is the first time I could clearly identify the problem.

    Shane

    Hey Shane,

    Looks like someone filed a bug report on it a month or two ago. It's the CAR # 475397 if you want to check for it in the list bug fixed for 2014 SP1.

  • Compilation failed due to an error of Xilinx (410)

    Hi guys,.

    I am compiling my FPGA with the Service Cloud to compile code. I have a FPGA code that compiles without any problem. When I put a knot of FIFO of DMA in this code, I get the error on the Xilinx compiler at the following address:

    ERROR: HDLCompiler:410 - "/ opt/apps/NIFPGA/jobs/CSD2awO_GQdD63p/NiFpgaAG_0000050c_CaseStructureFrame_0001.vhd" line 211: Expression has 66 items; 50 EXPECTED
    Netlist NiFpgaAG_0000050c_CaseStructureFrame_0001 (vhdl_labview) remains a black box, due to errors in its content

    The code compiles fine without the node of DMA. The DMA is a target to host DMA, 1023 long element (block of memory), the type of the elements is U32.

    There is something else on the block schema as well. When I copy the SCTL in a new VI without anything in it, it compiles without problems, without worrying if the node of DMA is here.

    Please find the extract VI and the attached summary of errors.

    All ideas are welcome.

    See you soon,.

    Norbert

    If the problem seems to be resolved...

    I deleted the DMA FIFO of the block diagram node and he still added. After about 40 minutes, I had a successful compilation. Weird.

  • for the FPGA fifo compilation error

    Hello

    I downloaded the example RS232 FPGA program and tried to make a small change to it. The original is like that; See attached file "beforeModification.PNG".

    I wanted to reread the amount of data still in the FIFO where I had read everything so I changed it to that. See attached file "withModification.PNG".

    The target is using the compiler 13.4 Xilinx 7841R. I'm not in the section summary with the following error message;

    Details:
    ERROR: HDLCompiler:1566 - "C:\NIFPGA\jobs\K7I4YEj_RQC5mWo\NiFpgaAG_FPGA_Main.vhd" line 869: Expression has 11 elements; official ocountfullcount wait 10

    I'm currently running;

    LabView 2012 SP1 f3

    12.1, the R Series multifunction RIO of NOR

    I'm doing something wrong?

    Thank you

    Andy

    The problem disappeared when I copied the source files in a different folder

  • LabVIEW FPGA: Compilation failed due to an error of xilinx

    I'm getting a 'Compilation failed due to the error of Xilinx' you try to compile the code in LabVIEW 2013.The code has successfully compilated in labview2012. Any suggestions on what is causing this problem?

    Details:
    ERROR: HDLCompiler:432 - "C:\NIFPGA\jobs\THY4t7n_z6im2K7\NiFpgaAG_0000003a_SequenceFrame.vhd" line 29: Formal has no real or default value.
    INFO: HDLCompiler:1408 - "C:\NIFPGA\jobs\THY4t7n_z6im2K7\NiFpgaSetOutputDataEnable.vhd", line 37. cparametersignal is declared here
    ERROR: HDLCompiler:854 - "C:\NIFPGA\jobs\THY4t7n_z6im2K7\NiFpgaAG_0000003a_SequenceFrame.vhd" line 21: Unit ignored due to previous errors.
    File VHDL C:\NIFPGA\jobs\THY4t7n_z6im2K7\NiFpgaAG_0000003a_SequenceFrame.vhd ignored errors
    -->

    Total memory use is 204688 kilobytes

    Number of errors: 2 (filtered 0)
    Number of warnings: 4 (filtered 0)
    Number of news: 0 (0 filtered)

    "Synthesize - XST" process failed

    Compile time
    ---------------------------
    Introduction date: 2014/2/26 18:15
    Date recovered results: 2014/2/26 18:17
    Waiting time in the queue: 00:06
    Compilation of time: 02:02
    -PlanAhead: 01:16
    -Generator kernel: 00:00
    -Synthesis - Xst: 00:35

    Hi guys

    I think I can give you a helping hand on this.

    I have the same problem when I'm working on the Tutorial (2013) exercise 2 with Rio evaluation Kit.

    You can try one of the following option.

    Solution 1.

    1. in the VI package manager.

    Select 'Tools '->' Options'-> "General '->' package Installation"-> Unchecked 'mass Compile screws after Installation of the package.

    Solution 2

    1. in the VI package manager, uninstall the driver LCD

    2. unchecked "Mass compile screws after Installation of the package" (see Solution 1)

    3 reinstall the driver of the LCD screen.

    Mine did after Solution 2 and the compiler works.

    Hope it will be useful for you guys.

    Thank you

  • Accurate cycle of FPGA simulation error

    Hello.

    I have problems simulating an FPGA vi with a third party Simulator.

    I took the example of the tutorial found at http://zone.ni.com/devzone/cda/tut/p/id/12942 , but I get the error of dialogue (see attachment) during the construction of the export of the simulation.

    I put in the LabVIEW options the Simulator to ISim.

    The text in the details section of the dialog error box is:

    Error-61499 occurred at niFpgaSimulate_GenerateCompileOrder.vi<><><>

    Possible reasons:

    LabVIEW FPGA: An internal software error in the LabVIEW FPGA Module has occurred.  Please contact National Instruments technical support on ni.com/support.

    There was an error in the compile command generation. The file that caused the problem is... / niFpga /... / niFpga/PkgNiFpgaSimulationModel.vhd. For more information, see compileOrder.log in the directory of niFpga simulation. The error information is less to:

    Line 53: Syntax error near ', '.
    ERROR: HDLCompiler:854 - "... / niFpga /... / niFpga/PkgNiFpgaSimulationModel.vhd" line 13: Unit ignored due to previous errors.
    ERROR: HDLCompiler:688 - "... / niFpga /... / niFpga/PkgNiFpgaSimulationModel.vhd" line 65: pkgnifpgasimulationmodel statement Package is not yet compiled
    ERROR: HDLCompiler:69 - "... / niFpga /... / niFpga/PkgNiFpgaSimulationModel.vhd" line 73: is not declared.
    ERROR: HDLCompiler:69 - "... / niFpga /... / niFpga/PkgNiFpgaSimulationModel.vhd" line 79: is not declared.
    ERROR: HDLCompiler:69 - "... / niFpga /... / niFpga/PkgNiFpgaSimulationModel.vhd" line 81: is not declared.
    ERROR: HDLCompiler:69 - "... / niFpga /... / niFpga/PkgNiFpgaSimulationModel.vhd" line 77: is not declared.
    ERROR: HDLCompiler:69 - "... / niFpga /... / niFpga/PkgNiFpgaSimulationModel.vhd" line 89: is not declared.
    ERROR: HDLCompiler:69 - "... / niFpga /... / niFpga/PkgNiFpgaSimulationModel.vhd" line 90: is not declared.
    ERROR: HDLCompiler:69 - "... / niFpga /... / niFpga/PkgNiFpgaSimulationModel.vhd" line 95: is not declared.
    ERROR: HDLCompiler:617 - "... / niFpga /... / niFpga/PkgNiFpgaSimulationModel.vhd" line 95: nearby; prefix must designate a scalar or array type
    ERROR: HDLCompiler:69 - "... / niFpga /... / niFpga/PkgNiFpgaSimulationModel.vhd" line 98: is not declared.
    ERROR: HDLCompiler:69 - "... / niFpga /... / niFpga/PkgNiFpgaSimulationModel.vhd" line 100: is not declared.
    ERROR: HDLCompiler:69 - "... / niFpga /... / niFpga/PkgNiFpgaSimulationModel.vhd" line 102: is not declared.
    ERROR: HDLCompiler:69 - "... / niFpga /... / niFpga/PkgNiFpgaSimulationModel.vhd" line 104: is not declared.
    ERROR: HDLCompiler:69 - "... / niFpga /... / niFpga/PkgNiFpgaSimulationModel.vhd" line 97: is not declared.
    ERROR: HDLCompiler:69 - "... / niFpga /... / niFpga/PkgNiFpgaSimulationModel.vhd" line 107: is not declared.
    ERROR: HDLCompiler:69 - "... / niFpga /... / niFpga/PkgNiFpgaSimulationModel.vhd" line 110: is not declared.
    ERROR: HDLCompiler:69 - "... / niFpga /... / niFpga/PkgNiFpgaSimulationModel.vhd" line 113: is not declared.

    Using LabVIEW 2011 (Xilinx tools 12.4) on Windows 7 Pro 64 bit.

    Any help would be appreciated.

    Thank you.

    Hello

    It seems that there is an article in the knowledge base that describes the error you see.  Let me know if it solves your problem.

    Error-61499 took place at niFpgaSimulate_GenerateCompileOrder.vi

    See you soon,.

    Ryan

  • cRIO-9024 + chassis 9113 NOR + NOR 9881 (CANopen) FPGA compile problem

    Hello

    I started to learn the Labview and I want to communicate with CANopen (9881) interface FPGA module, but there is a problem.

    Normally (without NI 9881), I can compile and run a VI file with FPGA interface, BUT after adding 9881 (in the slot1) to the chassis (9113) I can not compile any VI (same same file VI) with worker compilation. Even if I delete the Mod1 (Slot1, NI 9881) of the project, I can compile the file VI.

    I need compile bit files in order to use the library can open, but I could not find the problem.

    Can someone help me? Thank you.

    The error is below to:

    ...

    Analysis of entities.
    Architecture analysis of the entity.
    ERROR: HDLCompiler:849 - "D:\NIFPGA\jobs\g8Q6537_ibm705i\CcMuxSLN.vhd" line 794: Unexpected EOF.
    File VHDL D:\NIFPGA\jobs\g8Q6537_ibm705i\CcMuxSLN.vhd ignored errors

    Hi Matt,

    I uninstalled everything about LabVIEW and reinstalled, I did update, now the problem is solved. It compiles the file bit.

    Thank you.

  • Use of FIFO memory on two areas of clock (Labview FPGA) block

    Greetings!

    I'm developing an application on the FPGA of the vector signal OR 5644R
    transmitter/receiver. I have two loops single-cycle timed: a 40 MHz making a convolution
    and writing a FIFO memory block and the second at 120 MHz (sample clock)
    who reads from block FIFO memory and uses the following values
    interpolation...

    Under what circumstances is it permissible to use a FIFO memory block to transfer

    values of a loop from 40 MHz to a loop of 120 MHz (sample clock)?

    The reason I ask the question, it is that the compilation of my code repeatedly of not
    reported the error below:

    ERROR: HDLCompiler:69 - "/ opt/apps/NIFPGA/jobs/J9k7Gwc_WXxzSVD/Interface.vhd" line 193: is not declared.

    I share for everyone's reference, screenshots of my code which is an extension of

    sample 'Project streaming VST' given in NI5644R. A brief description of attachments is

    given below...

    1. "Top_level_FPGA_part1_modification.png": in a loop SCTL 120 MHz, a sub - vi bed FPGA

    go a block FIFO memory... In fact, the reading is actually made when entry

    "read_stream" is activated... (see details in read_from_fifo_true_case.png)

    2. "Top_level_FPGA_part2_modification.png": a 40 MHz SCTL, wherein is a subvi FPGA

    called to write the output of convolution to block FIFO memory.

    3. "target_respone_fpga_block_FIFO_modification.png": an output of a convolution filter is

    written in block FIFO memory each time that the convolution output is available...

    'ReadBlockFIFO' VI (circled in Top_level_FPGA_part1) is invoked in a 120 MHz SCTL.

    4. "read_from_fifo_false_case.png": when the input "read_stream' of this vi is false,

    data transfer memory FIFO of block to a different FIFO ('generation filter") takes

    place.

    5. "read_from_fifo_true_case.png": when the "read_stream' is set to true, the data is read in

    'Filter generation' FIFO and spent on the chain of later interpolation to the

    120 MHz SCTL...

    I hope that the attachments give enough clarity to what I'm doing... If we need

    For more information, do not hesitate to ask...

    Kind regards

    S. Raja Kumar

    Greetings!

    I think I understand the problem... The error probably occurs because a DMA FIFO

    (FPGA host) is playing at 40 MHz, and it is checked for the number of items in a loop

    120 MHz... It is not captured by the "pre-processing" by the labview FPGA, but by the Xilinx

    compilation phase synthesis tool.

    A lesson I share, is that if you observe this kind of problem, watch if there is incompatibility

    in the areas of the clock to access a FIFO...

    Kind regards

    S. Raja Kumar

  • Uninstall software update Apple says error in seller contact package package unstaller

    Try to get itunes working to make a backup of my faulty iphone before repair.

    First-itunes does not start says error. I'm trying to fix it, who said success but same error when you try to start it.

    Then uninstall completely worked. Then reinstall that seemed to be over except for a message "an older version of Apple software update already exists" then he went down and install itunes apparently had not been completed.

    Then I try to remove the update from the apple software and executed by an error in the installation program - it says there is an error in the installation and contact the supplier of the installation package. Same error if I run the uninstall command line program.

    Try to repair the Apple Software Update of programs & features Control Panel and then try to update iTunes again.

    For general advice, see troubleshooting problems with iTunes for Windows updates.

    The steps described in the second case are a guide to remove everything related to iTunes and then rebuild what is often a good starting point, unless the symptoms indicate a more specific approach.

    Review the other boxes and other support documents list to the bottom of the page, in case one of them applies.

    The more information box has direct links with the current and recent if you have problems to download, must revert to an older version or want to try the version of iTunes for Windows (64-bit - for older video cards) as a workaround for problems with installation or operation, or compatibility with third-party software.

    Backups of your library and device should be affected by these measures but there are links to backup and recovery advice there.

    TT2

  • SUMIF error

    I'm new to apple and get a syntax error when you use SUMIF.  In my table, I just need column F to test the value of column E.  If it is greater than 0, then divide by 20.  Thank you!

    In cell F1

    = E1/if(E1>0, 20, 1)

    fill down as needed

  • An error in this Applescript that I can't understand

    Hi, I searched some forums and found this script below which I modified. It works great except for a single statement:

    runScript If = 1 then number error -128 I want the script to do is, when a USB drive is mounted and is in the ignoredVolumes as "USB Untitled" I want the script to stop. What I can't understand is, runScript is set to 1, "Untitled USB" Monte, runScript is not changed, why don't the script stops with an error "user cancelled"? On the other hand, if a key USB Monte is not in the ignoredVolumes, runScript is set to 2 and copy the file I want it. What hurts? It's probably something that will be very obvious when I see the answer.

    Thanks for any help with this problem,

    Mike.



    property ignoredVolumes: {'10,10 30 1. 5 't', 'files 1. 5 't', "Untitled USB"} - add if necessary

    property videoExtensions: {"avi", "mov", "mpg", "wmv", "mp4" and "mkv"}

    the value newVolume to the alias (POSIX file "/ Volumes/files 1.") ("5T / new")

    the value oldVolume to the alias (POSIX file "/ Volumes/files 1.") ("5T / old")

    game runScript to 1

                   tell application "System events".

    the value rootVolumes to disk (POSIX file ' / Volumes ' in the text)

    the value allVolumes to name of every element of disc of rootVolumes

    the value numofallVolumes to the County of allVolumes

    Repeat with the present book in allVolumes

    say application 'Finder '.

    if (the present book is not in ignoredVolumes and (this book as text) is not '. ') DS_Store') then

    if there are alias (POSIX (' / Volumes / "& the present book) as text file ) then game runScript to 2

    runScript If = 1 then number error -128 - it does not give a 'User cancelled' error when "Untitled USB" is mounted

    runScript If = 2 then

                                                                            try

    duplicate (elements whose name is in the videoExtensions extension) in alias (POSIX file (' / Volumes / "& the present book &" / new ") as text) to newVolume

    on error number errorNumber errorMessage

    _error value of errorMessage

    _errorNum the value to errorNumber

    If errorNumber is -15267 then

    display the dialog box "This file already exists in folder a." buttons {"OK", "No"} default button 1 with the title "Film copy error?" giving upwards after 10

    If the returned button of result is 'No' then

    Error number-128

    on the other

    If the result is 'OK' or back button gave up lead and then of

    eject the present book

    display the dialog box "U S B D r i v e E j e c t e d - K O t o R e m o v e" {"no need to click on this button"} default button 1 button give up after 5

    return

    end if

    end if

    end if

    end try

    Try

    duplicate (elements whose name is in the videoExtensions extension) in alias (POSIX file (' / Volumes / "& the present book &" / old ") as text) to oldVolume

    on error number errorNumber errorMessage

    _error value of errorMessage

    _errorNum the value to errorNumber

    If errorNumber is -15267 then

    display the dialog box "This file already exists in the folder B" buttons {"OK", "No"} default button 1 with the title "Film copy error?" giving upwards after 10

    If the returned button of result is 'No' then

    Error number-128

    on the other

    If the result is 'OK' or back button gave up lead and then of

    eject the present book

    display the dialog box "U S B D r i v e E j e c t e d - K O t o R e m o v e" {"no need to click on this button"} default button 1 button give up after 5

    return

    end if

    end if

    end if

    end try

    display the dialog box "USB key will Auto Eject in 10 seconds or click OK... "buttons button 1 with the title"copy Complete - Eject? "default {'OK', 'No'} which gives after 10

    If the returned button of result is 'No' then

    Error number-128

    on the other

    If the button returned of result is "OK" or gave up a result then ejection of the this book

    display the dialog box "U S B D r i v e E j e c t e d - K O t o R e m o v e" {"no need to click on this button"} default button 1 button give up after 5

    end if

    end if

    end if

    end say

    end Repeat

              end say

    The way in which your external block If is currently based, the script can't do anything when this book is in the ignoredVolumes, it can not yet test the runScript value. Try something like this:

    If the present book is in the ignoredVolumes then

    game runScript to 1

    on the other

    if (the present book as text is not '. ') DS_Store') then

    if there are alias (POSIX (' / Volumes / "& the present book) as text file ) then game runScript to 2

    end if

    end if


    Of course, you need to remove a "end if' the end of the script.



  • error message when try to sync the iPhone, "invalid response from the device?

    What can I do when I receive this error message when you try to sync to my iPhone 5 s - "invalid response from the device?

    -What are your 5 updating to 10.0.2 iOS iPhone? If this is the case, you must have the latest version of iTunes on your computer, which is required for Mac OS X 10.9.5 12.5.1, or above. To meet these specifications will be receiving this error.

  • Display Rotation error

    Hello

    I had a problem with the rotation of the screen under macOS Sierra function. As the screen rotates, there is an error that pop up and later that I'm unable to get into system-> Display Preferences. It is for me a "mistake preferably: County not load display preferences" message and I was unable to rotate the screen back. I started from the system in safe mode and temporarily solved the problem. But if I want to rotate the screen again, it pops up the same error again. I was using the rotation function pretty well in OS X El Capitan. But since I updated to macOS Sierra, I had this problem.

    I wanted to know if there is a lasting solution to this problem.

    I'm using macOS Sierra on MacBook (13-inch, early 2015) Air with processor 1.6 Ghz Intel Core i5 and 8 GB memory DDR3 at 1600 MHz with 128 GB of storage.

    Hello PavanGJ,

    Thank you for using communities Support from Apple. I see that since upgrading to Mac OS Sierra problems of screen rotation. The preferences window does not. I know how it is important for your Mac to work reliably. I'll be more than happy to help.

    Great job to test mode without failure. In Safe Mode disables most of the third-party services, it could be a compatibility problem with an application that you have. Check out this article:

    OS X El Capitan: If you have problems with startup items

    You can not hold account that the title suggests it's to El Capitan. It applies to macOS Sierra as well.

    You can also test the issue in a new user account.

    How to test a question in another account on your Mac - Apple Support

    Let us know if that helps.

    Take care!

Maybe you are looking for