problem compiling under JDE 4.6

I got this error when compile my project 4.3 to 4.6

C:\Program Research In Motion\BlackBerry JDE 4.3.0\samples\com\rim\samples\device\TestApp\DialScreen.java:159: cannot access net.rim.device.api.ui.component.ListFieldMeasureCallback
file not found net\rim\device\api\ui\component\ListFieldMeasureCallback.class
private class MyObjectListField extends ObjectListField {}

This error can be triggered by the expansion of some areas such as the ObjectChoiceField.  We are aware of this problem and it was reported to our development team.

Tags: BlackBerry Developers

Similar Questions

  • Problem compiling CUSTOM.pll

    Hi all
    I am facing a strange problem, I made changes to my CUSTOM.pll on EBS 11.5.10.2 and compiled under $AU_TOP/resources and CUSTOM.plx is generated. However, none of the changes I did come into force. The old controls are still active.
    The same process is successful to our test server, when I compile the CUSTOM.pll the changes take effect immediately.

    I need your help.

    Thank you
    Burak

    Burak,

    Did you try the server for CUSTOM.plx files and see if you have more than one copy?

    Thank you
    Hussein

  • The AI CS4 sample projects compile under MS VC ++ 2008

    I'm unable to compile one of the examples of project in AI CS4 SDK for Windows using MS Visual Studio 2008. I have Windows XP Home installed on a partition Windows on a MacBook Pro. I tried to "build solution" and "build < project >" but without success. It creates the build log (attached) and a TwirlFilter.aip.embed.manifest file, and then quickly fails with the error:

    BSCMAKE: error BK1506: cannot open the file '... \output\objects\TwirlFilter\debug\TwirlFilterPlugin.SBR': no such file or directory

    Everything perfectly compiled under Xcode. I had greatly appreciate suggestions on what goes wrong. Thanks - Rick

    Sorry, the (x 86) is something that Windows Add if you are on a 64 bit system and install 32-bit applications. Native applications go in Program Files without the (x 86), but if you use 32-bit windows, you'll see little. So if you see that in a way, I post, you can skip a little and pretend it says only: "Program Files."

    As for your problem, it seems that it is a bug of Visual Studio. I found a Microsoft KB article that seems to sum up your problem:

    http://support.Microsoft.com/kb/969866

    In a Word, it is said that if you install C++ but do not install c#, it will fail to install the cl.exe you need to compile things correctly - which resembles what happens for you! I would try and see if it solves your problem. I'm crossing my fingers!

  • Problem with compiling under QNX Momentics IDE

    Hello! I developed a small application based on Qt for BlackBerry Playbook.

    When I try to build my app in debug mode of Simulator, NDK error reports:

    CC: cannot specify - P, - C, - E, - c or S - with o and multiple files

    How can I fix this error?

    You do not have a typing error:

    -DQ_MOC_OUTPUT_REVISION = 63
    

    If it should not be:

    -DQ_MOC_OUTPUT_REVISION=63
    
  • Problem compiling forms in weblogic with oracle database 10 g 2

    Hi all,

    I have weblogic 10.3.6 with Forms services under redhat5, I compiled a form with a user under a database11g and the .fmx file is generated, oe n I a database 10 g 2, when I tried to compile the same form with a user in the context of this DB it give me "has not created the form" nd when I checked the problem I found that it gives me the problem in each statement that connect to the database (select call procedure...) have you ideas, thank you for your answers

    Hamid yes I am able to connect to the database 10g, I did some research and I found that weblogic Forms services work with DB 10.2.0.4 or we have to DB11g, so we will know our customer is.

  • error 4450? 4251 error? Can't burn CDs problems even under 76minutes? Why?

    before I could easily burn CD UNTIL I did the series of updates. lately have sporadic success if my playlist is less than 74minutes. I have 10 windows and you want to know if it's the fault of APPLE or windows 10 or my computer? passed verbatim to memorex with the last semblance of be a little more luck, but this process should not be sporadic as he apparently came to be now. I used to be able to burn CDs with 80 minutes even & had no problems until a few weeks ago! Help, please

    Serge,

    I'm surprised that you were never able to burn an 80 minute audio CD using iTunes.  But whatever it is, it's usually a good idea to keep the playlists to burn an audio CD for several minutes under the indicated maximum.  Less than 70 minutes would be a good idea.

  • LabVIEW FPGA: Problem compiling look-up Table

    Current versions of software:

    LabVIEW 2014 SP1

    LabVIEW FPGA 2014

    Xilinx Vivado

    I'm having a huge problem in trying to compile my LabVIEW FPGA code.

    Some recall of the code:

    It's all in a SCTL.

    I am streaming in a FIFO DMA and comparing it with the values previously stored in the shift registers (which are initialized to 0 at the start of the loop) in the SCTL.

    The results of the comparison are then piled into a U16 and loaded into a lookup table (I use the LUT - 1 d), and I'm so help this LUT to decide what value will be charged to travel to record for the next iteration of the loop, which, in any case, would be either the current values of the flow, or the post previous registry value.

    (It's a triage loop)

    I am able to run very well in simulation mode code, but when I try to compile, I get this error:

    "The selected object has a built-in shift register that makes the output on a particular loop iteration correspond to the entries in the previous iteration."

    Connect the outputs of the object directly to a minimum number of nodes of Feedback or uninitialized shift registers. You cannot connect the outputs to another object.

    See using LabVIEW for more information on the objects with registers embedded offset. »

    Someone at - it ideas why this happens, and what might be the possible solutions?

    I'm tempted to break it down into separate loops, but I prefer not to because it is now a loop (and working in my simulation).

    I found my problem.

    Any time that a LUT is in a chain shift register, it cannot:

    1. be part of a string of shift register that has a variable initialized

    2. follow-up to no decisive structure, like a box structure.

    I just moved the position of LUT and it works.

  • Problem compiling LV FPGA (urgent)

    Hello

    I'm trying to make a code FPGA LV in my crio 9074, but it takes a lot of time unsusually (40 minutes or more).

    I'm trying to implement this example to generate a waveform: http://www.ni.com/example/28209/en/

    Up to now, it's show "generation of carrots" message and in the newspaper, I'm repeatedly getting this message:

    aved CGP file for project 'coregen.
    Fix generics to 'ReallyLongUniqueName_ReallyLongUniqueName '...
    Generic external application to 'ReallyLongUniqueName_ReallyLongUniqueName '...
    Delivery of files related to "ReallyLongUniqueName_ReallyLongUniqueName"...
    Setting netlist generation implemented to
    'ReallyLongUniqueName_ReallyLongUniqueName '...
    Synthesis running for "ReallyLongUniqueName_ReallyLongUniqueName."
    13.4 - Xilinx CORE Generator O.87xd (nt) of output
    Copyright (c) 1995-2011 Xilinx, Inc. All rights reserved.
    Save all the run-time messages
    C:\NIFPGA\jobs\W543T9Q_a5T1mXb\coregen.log
    In the CGP project «coregen» file
    Fix generics to 'ReallyLongUniqueName_ReallyLongUniqueName '...
    Generic external application to 'ReallyLongUniqueName_ReallyLongUniqueName '...
    Delivery of files related to "ReallyLongUniqueName_ReallyLongUniqueName"...
    Setting netlist generation implemented to
    'ReallyLongUniqueName_ReallyLongUniqueName '...
    Synthesis running for "ReallyLongUniqueName_ReallyLongUniqueName."

    It seems that it is in some kind of loop without end Peae guide me how to solve the problem.

    Thank you...

    These are 'normal' of the process of compilation output messages (although they are a little curious). It is normal to have a certain number of these modules in the FPGA design, if you use analysis library functions; each of them can take several minutes to synthesize. The compilation has finished?

    Fortunately, if you're doing only minor subsequent changes compile compile times are expected to decline because the compiled results of each of these components is cached.

  • problem compiling with references to projects

    Hello

    I developed as a framework and I'm trying to bring to BB10.

    I have four static libraries, have references to others.

    I create a project test using the four libraries and checked in the window references my four libraries (QNX IDE: project properties / general ad for C/C++ /Paths symbols / References).

    When I compile I have many ' reference "..." "undefined error. I know that I can change the order of the links, but it is not enough to solve some "circular" linking problems.

    I already had this problem when I compiled the framwork for Android, but in the makefile, I was able to link several times with the same library (example:)

    Project4.a
    Project1.a

    Project3.a
    Project1.a
    Project2.a
    )
    But I can't, or I don't know how I can do it in QNX IDE.

    No idea how I can do to link all my projects properly please?

    Thanks a lot for your help.

    You should put all your libraries to be used by the editor of links here:

    Build properties of the project-> C/C++/QCC Linker-> library-> library (-l)

  • problem compiling ffmpeg

    I've compiled ffmpeg with other libraries, I need for Blackberry 10. I receive the following two errors when you include the library in my project

    / Applications/Momentics.app/target_10_2_0_1155/qnx6/usr/include/cpp/xlocinfo:167:10: error: 'strftime' is not a member of 'std '.

    .. /.. /.. /ffmpeg/ffmpeg-2.0.2/dist/include/libavutil/time.h:29:1: error: "int64_t" does not designate a type

    Could someone help me with these questions? I'm not sure where to go completely. When I find int64_t in time.h and select 'Open Declaration' it takes me to his statement in stdint.h (/ Applications/Momentics.app/target_10_2_0_1155/qnx6/usr/include/stdint.h) it seems like it is defined. Use in time.h:

    /**

    To get the current time in microseconds.

    */

    int64_t av_gettime (void);

    In case anyone comes across this, I was able to get the library compiled and included in the project. The instructions on doturner post are correct. I was sourcing the wrong bbndk-env script and I think that was causing a problem. I still received the error UINT64_C, but they have been fixed including the headers of the library like this:

    extern "C".

    {

    uint64_t UINT64_C #define

    #define INT64_C int64_t

    #include

    ...

    }

    You don't need to change all library files, so do not do what I did in the post above. The definition of UINT64_C and INT64_C take care of it.

  • Problem with BB JDE v4.6.0 signature code

    Hello

    1. work on BB Bold 4.6.0

    I got the recorded code signed keys on my m/c with BB JDE version 4.7.0 and it works fine.

    now I need to port to BB 4.6.0 version, so I installed JDE 4.6.0, in this JDE I'm not able to get signed my cod files.

    I got the error says "unable to save signatures until this application has been registered with all signing authorities required."

    Note:-only change in my m/c's IP has changed, I don't think that's the problem.

    can anyone help me please with this problem.

    Thanks in advance

    Ratna

    Thanks for your reply, I tried but not worked in this way.

    found another way to do it.

    4.7 copied. singtool next and launched with the COD file required.

    now it does not course unless tested on device.

  • Problem with the JDE Plugin

    Eclipse 3.5.0 and BB Java SDK 5.0.0.14 using... I'm just getting started with BlackBerry... I just built and tested the HelloWorld app... launched in the Simulator end. I try then run it on my camera, to get an error on the wrong version. So, I try and run it again in the Simulator, and now the app just does not appear in the downloads folder. Just nothing. Any ideas what's happening here?

    This happened to me earlier today by using the JDE, so I switched to the Eclipse thinking that would take care of her...

    Never, does anyone else have this problem?

    OK, I fixed it. I just deleted the project BB and recreated and it seems to work again. I think that the problem can me been accidentally right click on the project name and selecting 'BlackBerry\Package project (s).

    What the devil who does anyway?

  • Problem compiling HelloBigDataWorld

    Hi all

    I'm really a newbie with NoSQL and Java.

    I just downloaded and unpacked kv - this - 2.1.57.zip (md5) from the Oracle site.

    I created a database of kvlite - which was very good.

    Following the quickstart.html in a second window (I'm on the BACK), I published

    java-jar lib/kvstore.jar ping - host myhost port 5000

    and that was very good and returned the expected resultsas.

    Then I tried to compile HelloBigDataWorld using the following:

    javac - cp examples:lib / kvclient.jar examples/hello/HelloBigDataWorld.java

    Here, I get a load of errors:

    examples\hello\HelloBigDataWorld.Java:46: error: package oracle.kv does not exist

    Import oracle.kv.KVStore;

    ^

    examples\hello\HelloBigDataWorld.Java:47: error: package oracle.kv does not exist

    Import oracle.kv.KVStoreConfig;

    ^

    examples\hello\HelloBigDataWorld.Java:48: error: package oracle.kv does not exist

    Import oracle.kv.KVStoreFactory;

    ^

    examples\hello\HelloBigDataWorld.Java:49: error: package oracle.kv does not exist

    Import oracle.kv.Key;

    ^

    examples\hello\HelloBigDataWorld.Java:50: error: package oracle.kv does not exist

    Import oracle.kv.Value;

    ^

    examples\hello\HelloBigDataWorld.Java:51: error: package oracle.kv does not exist

    Import oracle.kv.ValueVersion;

    ^

    examples\hello\HelloBigDataWorld.Java:75: error: cannot find symbol

    Private final KVStore store;

    ^

    symbol: KVStore, class

    location: HelloBigDataWorld of the class

    examples\hello\HelloBigDataWorld.Java:128: error: cannot find symbol

    (new KVStoreConfig (storeName, hostname + ":" + hostPort));

    ^

    symbol: KVStoreConfig, class

    location: HelloBigDataWorld of the class

    examples\hello\HelloBigDataWorld.Java:127: error: cannot find symbol

    Store = KVStoreFactory.getStore

    ^

    symbol: variable KVStoreFactory

    location: HelloBigDataWorld of the class

    examples\hello\HelloBigDataWorld.Java:148: error: cannot find symbol

    Store.put (Key.createKey (keyString),

    ^

    symbol: key variables

    location: HelloBigDataWorld of the class

    examples\hello\HelloBigDataWorld.Java:149: error: cannot find symbol

    Value.createValue (valueString.getBytes ()));

    ^

    symbol: the variable value

    location: HelloBigDataWorld of the class

    examples\hello\HelloBigDataWorld.Java:151: error: cannot find symbol

    final ValueVersion valueVersion = store.get (Key.createKey (keyString));

    ^

    symbol: ValueVersion, class

    location: HelloBigDataWorld of the class

    examples\hello\HelloBigDataWorld.Java:151: error: cannot find symbol

    final ValueVersion valueVersion = store.get (Key.createKey (keyString));

    ^

    symbol: key variables

    location: HelloBigDataWorld of the class

    The first I suppose, that it cannot find the oracle.kv package I checked and the file kvclient.jar is located in the lib directory - I can see to unzip the .kv oracle package is in it.

    I know I'm running it on Vista (all I have at the moment), but thought that it should work OK

    Can someone tell me what step I missed please?

    Thank you very much

    Helen

    Under Windows, you need to use; instead of: to separate the classpath elements.

    Charles

  • problem compiling with gcc 4.7.3 dbstl

    Hello

    my project uses dbstl and will not compile with gcc 4.7.3 und Linux x 86, unless I'm using - fpermissive. I tried different versions of db between 4.8 and 6.0.20 without success. In fact

    do exstl_advancedfeatures

    below, already, fails. There seems to be problems with name resolution. I couldn't find anything on the issue. Is it known? If not, where should I report it?

    Best,

    Andreas

    brand exstl_advancedfeatures output:

    . / libtool - mode = compilation g ++-I / examples/stl - c - I.-j' have... / SRC-D_GNU_SOURCE-D_REENTRANT-O3-I... / lang/cxx/STL... /examples/STL/StlAdvancedFeatures.cpp

    libtool: compile: g ++-I / examples/stl - c - I.-j' have... / SRC-D_GNU_SOURCE-D_REENTRANT-O3-I... / lang/cxx/STL... /examples/STL/StlAdvancedFeatures.cpp - fPIC - DPIC-o.libs/StlAdvancedFeatures.o

    In file included from... examples/STL/StlAdvancedFeatures.h:32:0.

    Of... /examples/STL/StlAdvancedFeatures.cpp:9:

    .. «/lang/cxx/STL/dbstl_vector.h: in the instantiation of the ' dbstl::db_vector_iterator < T, value_type_sub >: auto & dbstl::db_vector_iterator < T, value_type_sub >: operator ++ () [with T = char *; value_type_sub = dbstl::ElementHolder < char * >; < T, value_type_sub > dbstl::db_vector_iterator: auto = dbstl::db_vector_iterator < tank *, dbstl::ElementHolder < tank * > >]» :

    .. /examples/STL/StlAdvancedFeatures.h:663:26: required here

    .. /lang/cxx/STL/dbstl_vector.h:859:3: error: 'move_by' was not declared in this scope, and no declaration found by dependent on research of an argument when instantiating [-fpermissive]

    .. /lang/cxx/STL/dbstl_vector.h:859:3: Note: basic statements depending on "dbstl::db_vector_base_iterator < char * > ' cannot find search for the absolute

    .. /lang/cxx/STL/dbstl_vector.h:859:3: Note: use 'this-> move_by' instead

    [...]

    Hello

    We have fixed all the problems of compiling for dbstl in the development branch.

    So what version do you use?  Next, we'll create the patch for the specific version.

    Kind regards

    -Winter

  • problem compiling with MERGE statement

    What is the problem with this merge statement?

    When I compile I get the message
    met symbol IN when one of the following expected
    *:= . (@ %; < a SQL > *)

    MERGE INTO PF_REP_TEMP HAS
    WITH THE HELP OF PF_REP_SKS B
    WE (a. pf_userid = "FINP' AND B.pfbatch = 'F99' and B.pfstfno = A.pf_staffno
    and B.pfcc = "7" and B.pftransmy = A.mmyy and B.slno = A.s_no)
    When matched then
    game update
    a.pfwdrlm = b.pfwdrlm,
    a.PFRC = b.PFRC;

    Help, please

    (version of the form is 10g, 11g database version)

    How to perform a merge into forms button pressed trigger command

Maybe you are looking for