stuck FPGA compilation, no errors

Hello

I wrote a LabVIEW FPGA 8.6.1 program (I have written several, this is my most recent). When I run it on the development computer it seems to work fine without any errors. When I try to compile, however, it still stalls on this part:

'Analysis of generic entity library ( Architecture).

This isn't feeze (the compiler is always updated time and you can see it working). It just doesn't progress no further than this point. By chance, does anyone know what could cause this? I know that maybe it's a little vague, sorry. Thank you!

Nevermind, I figured it. I had a knot of analog input within a For loop. This wire entry (i.e. given out the analog input node) was connected to a tunnel auto-index on the loop For I have just disabled automatic indexing on this tunnel and everything seems to compile fine now.

Tags: NI Software

Similar Questions

  • LabVIEW fpga compile: translation error then again translate

    Hello everyone,

    I have a question about the process of compiling LV FPGA.

    The context:

    I am compiling a binary FPGA for the NOR-5644R (viterx6 inisde). the process is quite long (up to 7 hours depending on how is our CLIP). I am canvassing any idea of attaching the compilation process.

    The fact:

    By analyzing the log file of the previous compilation, I noticed that the stage translate is made twice, probably because one is a failure. the excerpt from log files are copy/paste below.

    Further, it seems the errors (at least some of them) translate the first are induced by the commented lines of NOR provided file UCF (RfRioFpga.ucf)

    The question:

    Why to translate step to do it twice (the first being failed)? would it not quicker to make only the second succefull one? in other words, it is really necessary for the first fails to translate step for the FPGA binay?

    Any ideas?

    Thanks in advance!

    See you soon,.

    Patrice

    ----

    log file extract 1:

    "...

    NGDBUILD Design summary of results:
    Error number: 387
    Number of warnings: 1443

    Total in time REAL until the end of the NGDBUILD: 2 h 16 min 45 s
    Time CPU until total NGDBUILD: 2 h 11 min 21 sec

    One or more errors were found during the NGDBUILD. No file NGD will be written.

    Writing the file of log NGDBUILD 'RfRioFpga.bld '...

    'Translate' process failed

    ..."

    log file excerpt 2:

    "...

    NGDBUILD Design summary of results:
    Number of errors: 0
    Number of warnings: 818

    Writing the file 'RfRioFpga.ngd '... NGD
    Total in time REAL until the end of the NGDBUILD: 29 min 17sec
    Time CPU until total NGDBUILD: 27 min 33sec

    Writing the file of log NGDBUILD 'RfRioFpga.bld '...

    NGDBUILD done.

    'Translate' process completed successfully

    ..."

    Hi Patrice,

    It seems strange that it performs this step two times (and was worried when I saw the first time), but there is good reason for that, certainly.  LabVIEW inserts constraints for components, he adds, but sometimes the components get optimized out by the compiler.  When the compiler encounters stressed that points to the now non-existent component, it error.  LabVIEW circumvents this by running the process to translate two times. The first time, it may fail. LabVIEW will remove the constraints that fail, then run again translate it.  Unfortunately there is no way around this problem.

  • tables of fixed size in FPGA compilation error - how to implement a waveform control in an FPGA?

    Hello

    After being stuck for two days, please let me briefly describe my project and the problem:

    I want to use the cRIO FPGA for iterative control of waveforms. I want to capture a full period of the waveform, subtracting a reference waveform period and apply control algorithms on this. Subsequently the new period of correction must be sent again for the output module OR. If it does not work, the captured waveform will look like the one reference after several iterations.

    I am planing to create an array of size fixed for the capture and the reference waveform (each around 2,000 items for a given period). I use so 2 paintings of each elements of 2000. I use the function 'replace the subset of table' to update each element captured in the loop sampling and a feedback for each table node to keep in memory (I also tried shift registers, but then the berries do not have a fixed size any more and I can't start the compilation process).

    If I try to compile the FPGA vi, I get the following error:

    Details:
    ERRORortability:3 - Xilinx this application runs out of memory or met a memory conflict.  Use of current memory is 4167696 KB.  You can try to increase physical or virtual memory of your system.  If you are using a Win32 system, you can increase your application from 2 GB to 3 GB memory using the 3 G switch in your boot.ini file. For more information, please visit Xilinx answer Record #14932. For technical support on this issue, you can open a WebCase with this project attached to http://www.xilinx.com/support.
    "Synthesize - XST" process failed

    Before I added berries to my code I could compile the FPGA without problems. So, it seems that the tables are too big for the FPGA. :-(

    Therefore, I would like to ask if there is perhaps a better method to implement my problem in LabVIEW FPGA? How could avoid the tables to save my waveforms on a period?

    Thanks a lot for your help in advance.

    Best regards

    Andreas

    Unfortunately, the LabVIEW FPGA compiler cannot deduct stores shipped from berries (yet). When you create these two large paintings, you are creating essentially several registers very, very large. Just by looking at your picture, I guess that there are at least 4 copies of each of the tables.

    You want to use LabVIEW FPGA memories instead. You can create memories outside the loop and then read/write them where you are currently referencing the berries. The only change that you really need to do is to break down your treatment in scalar operations. I have attached a simplified version of your plan, I hope it helps. Let us know if you have any other questions.

  • FPGA Compilation fails because of the mistake of Xilinx

    My FPGA compiles and I cannot understand why. There is nothing crazy in my FPGA VI of really, it seems strange to have this type of problem. I can't reach the code because its owner, but it's pretty simple because it reads all channels from a single module and records them in a data FIFO. I have compiled successfully any FPGA code on this computer, so I should definitely have everything needed to compile.

    My system consists of one NOR cRIO 9030 with a single module 9220. Below is the Compilation of summaries.

    ---

    LabVIEW FPGA: Compilation failed due to an error of Xilinx.

    Details:
    ERROR: [Synth 8-1031] knidmahighspeedsinkbase is not declared [/ opt/apps/NIFPGA/jobs/mC683d5_WCf98Qm/PkgShimSwitchedLinkDmaPortIfc.vhd:295]
    ERROR: [Synth 8-1031] knidmahighspeedsinksize is not declared [/ opt/apps/NIFPGA/jobs/mC683d5_WCf98Qm/PkgShimSwitchedLinkDmaPortIfc.vhd:296]
    ERROR: [Synth 8-1031] knidmahighspeedsinkbase is not declared [/ opt/apps/NIFPGA/jobs/mC683d5_WCf98Qm/PkgShimSwitchedLinkDmaPortIfc.vhd:301]
    ERROR: [Synth 8-1031] knidmahighspeedsinkbase is not declared [/ opt/apps/NIFPGA/jobs/mC683d5_WCf98Qm/PkgShimSwitchedLinkDmaPortIfc.vhd:302]
    INFO: [Synth 8-2810] pkgshimswitchedlinkdmaportifc unit ignored due to previous errors [/ opt/apps/NIFPGA/jobs/mC683d5_WCf98Qm/PkgShimSwitchedLinkDmaPortIfc.vhd:68]
    ---------------------------------------------------------------------------------
    Development of finished RTL: time (s): UC = 00:00:07. delay = 00:00:31. Memory (MB): peak = 798.605; gain = 204.203
    ---------------------------------------------------------------------------------
    ERROR: [Common 17-39] 'source' is not due to previous errors.
    INFO: [Common 17-83] release license: synthesis
    3 info, 0 Warnings, 0 critical warnings and 4 errors.
    synth_design failed
    : ERROR: [Common 17-39] 'source' is not due to previous errors.

    When running
    «source - notrace.» /. Xil/Vivado-6035-ip-10-60-203-176/realtime/toplevel_gen.tcl ".
    called inside
    «synth_design-top "toplevel_gen" - part "xc7k70tfbg676-1"-"full" flatten_hierarchy "»»
    (file "/ opt/apps/NIFPGA/jobs/mC683d5_WCf98Qm/synthesize.tcl" line 21)
    called inside
    "source" / opt/apps/NIFPGA/jobs/mC683d5_WCf98Qm/synthesize.tcl "" "
    exit #.
    INFO: [Common 17-206] exit Vivado at Tue Mar 24 23:58:54 2015...

    Compile time
    ---------------------------
    Introduction date: 24/03/2015-16:46
    Date recovered results: 24/03/2015-17:00
    Waiting time in the queue: 00:24
    Compilation of time: 13:22
    -Generate a Xilinx IP: 00:00
    -Summarize - Vivado: 01:27

    Compilation has restarted on
    24/03/2015-16:57

    ---

    Any help would be much appreciated!

    Thank you

    James.Morris wrote:

    Okay, so it seems that I get the error with a project from scratch and an FPGA with a single command and an indicator.

    I download the latest FPGA 2014 SP1 installation and I hope that will solve my problem.

    Well I'll mend, which fixed the problem! Somewhere along the line, my install FPGA must be corrupted.

    Thanks for the help, guys.

  • Why is the FPGA Compiler server so slow?

    FPGA Cloud compiler is faster because NO servers are performing, right?
    Well, my Windows 7 PC is powerful, but no luck there!

    Total CPU utilization is never more than 25% and the total memory (including other applications) usage is less than 16%.
    Why, the compile Server uses all available resources? has been restricted?
    How can I leverage more power from my PC?

    If servers OR compile faster code, there must be a plausible explanation / reason?
    Please indicate, how to make the compile server running faster in place?

    I don't know what machines compilation cloud service works, but I do not.

    It seems that the compilation is much faster on a Linux machine on a Windows machine (I think I've heard up to 30% faster). The Linux FPGA compile server is available for LV2012. Set up a Linux machine with a clock high enough CPU and RAM speed and you will get on the fastest possible compilation with current tools.

  • Question of server FPGA compile

    Hello

    I want to implement a system of compilation farm. There is only a PC development (possibly 1 later) and compiles a worker. The question is, the compile Server (one which dishes to the worker of the compilation of the tasks) possible on the same PC used for development, and in the affirmative, he introduced the bottleneck important compared to using a dedicated machine?

    Thank you

    Hi ToeCutter,

    The compile server can be on the same PC that is used for development without any problem

    ' ' ' ' Refer to the getting started with the FPGA document compile farm, available by selecting Start "all programs" National Instruments "FPGA" start-up of the farm to compile FPGA, for more information about configuring and using FPGA compile farm.

    Use "localhost" as the host name of the server for your compilations to compile.

    Compile farm server uses the same compiling installed tools (Xilinx) on your PC, but instead to directly obtain the LabVIEW project files

    It compiles the files downloaded by the user, there is no difference in performance.

    I hope that helps!

    Kind regards

  • Question of FPGA compile farm 2012

    I was under the impression that my FPGA LV code can be compiled by several workers when I bought and installed the FPGA compile farm Toolkit.  What I have, however, is that each work of compilation can only be compiled by a worker.  I expect that a single compilation work can be divided by the number of workers.

    So I see that a worker of computers will compile two computers of worker work.  It's just that a worker will be compiled at the same time.

    I just misunderstand the features to compile farm Toolkit or is it an installation problem?

    Thank you

    No, a unique compilation cannot be shared between workers. Nature of the beast-FPGA "compilation" is not something that lends itself to parallelism. You have the advantage that the build tools run much faster under linux, however.

  • Compilation of FPGA - real formal error on the cost of the port cannot be an expression

    Details:
    ERROR: HDLCompiler:192 - "C:\NIFPGA\jobs\BPO5kq2_O6tyN2U\OC4_Sine_Cosine_LUT_Constant_Amplitude_dash_optimised_vi_c.vhd" line 1408: real formal on the cost of the port cannot be an expression
    ERROR: HDLCompiler:854 - "C:\NIFPGA\jobs\BPO5kq2_O6tyN2U\OC4_Sine_Cosine_LUT_Constant_Amplitude_dash_optimised_vi_c.vhd" line 69: Unit ignored due to previous errors.
    File VHDL C:\NIFPGA\jobs\BPO5kq2_O6tyN2U\OC4_Sine_Cosine_LUT_Constant_Amplitude_dash_optimised_vi_c.vhd ignored errors
    -->

    The compilation happens to step "using the unit felt" but then stops soon after with a compilation error.

    The line in question (1408) refers to the output of a "Reinterpretation FXP" node with the text

    Cost => (others-online '0'),

    in the part of port of the code card.  This is the output of the FXP reinterpret node is directly connected to an indicator in a VI sub whose output is then entered directly at a crossing high multiply node.  The code is part of a cosine sine LUT I programmed.  She used to compile without a problem, but I think I know where is the problem.  In one case, I have used only the sine of output of the algorithm and theory, Xilinx can optimize away from the part of cosine.  I have two instances of this VI in my code and looking at those generating any errors, the output is associated with a cosine indicator.

    Cost-online s_Cosine_2434,

    It seems that the track is essentially optimized away, but the compiler, Xilinx has a problem with the flag being present on the sub - VI but the idnicator is not used anywhere.  As a result, the cost gets set to an invalid value.  I guess close to reinterpret it FXP at the exit of the Subvi is an important aspect of this problem.

    I think I know enough now to fix this (remove the path manually by duplicating the sub - vi), but it may be useful for future bug fixes feedback in the FPGA module.  It is not the first time that this kind of removal of incorrect code me has given problems, but this is the first time I could clearly identify the problem.

    Shane

    Hey Shane,

    Looks like someone filed a bug report on it a month or two ago. It's the CAR # 475397 if you want to check for it in the list bug fixed for 2014 SP1.

  • ERROR: TclTasksC:process_077: in the FPGA Compilation

    Hi all

    I use for my application in which I am facing following error when compiling my fpga code compact rio 9072:

    Compilation failed due to an error of Xilinx.

    Details:
    ERROR: TclTasksCrocess_077: did not finish. Please, look in the newspaper and report files.false
    When running
    "process run"card.
    (file "C:\NIFPGA\jobs\XI64xG6_My449tj\map.tcl" line 6)

    ERRORack:2310 - type compositions "SLICEL" too found to adapt to this device.
    ERRORack:18 - the design is too large for the device and package.
    Please see the Design summary section to see the cost estimates for
    your design exceeds the resources available in the device.
    NOTE: A file NCD will be always generated to allow you to examine the mapped
    Design.  This file is intended for assessment use only and will not be processed
    successfully through NOMINAL.
    This NCD mapped file can be used to assess how the logic of the design has been
    mapped in FPGA logic resources.  It can also be used to analyze
    preliminary to the level logic (route pre) calendar with one of the static electricity of Xilinx
    analysis of synchronization (PRHT or Timing Analyzer) tools.
    Summary of the design:
    Error number: 2
    Number of warnings: 69
    Use of logic:
    Number of slice Flip Flops: 7 886 on 15 360 51%
    Number of 4-input lut: 16 104 on 15 360 104% (OVERMAPPED)
    Distribution of logic:
    Number of slots occupied: 8 744 7 680 113% (OVERMAPPED)
    Number of slices only related logical container: 8 744 100% 8 744
    Number of slices that contains no logical relationship: 0 to 8 744 0%
    * See NOTES below for an explanation of the effects of unrelated logic.
    Total number of 4 input lut: 17 400 on 15 360 113% (OVERMAPPED)
    Number used as logic: 15 998
    Number used as a middle way: 1 296
    Number used as Rams 16 x 1: 82
    Used number recorded the shift: 24
    The logical Distribution report slice is not significant if the design is
    too mapped to a resource not slices or if Placement fails.
    Number of IOBs servile: 183 on 333 54%
    BIO flip flops: 74
    Number of RAMB16s: 1 24 4%
    Number of MULT18X18s: 2 on 24 8%
    Number of BUFGMUXs: 4 to 8 50%
    Number of DCMs: 1 on 4 25%
    Fanout of the Non-horloge nets on average: 3.38
    Peak Memory use: 361 MB
    Total in time REAL in the completion of the card: 1 dry 12 mins
    Time CPU until the end of the total map: 1 dry 12 mins
    NOTES:
    Related logic is defined as logical that share connectivity - for example two
    Lut are "related" if they share common inputs.  During Assembly of the slices.
    Card gives priority to combine the logic that is related.  Generates so
    best performance of synchronization.
    Without logical report does share no connectivity.  Card will start only packing
    logic not related in a slice once that 99% of the slices are held through
    logical packaging partners.
    Note that once the logical distribution reaches the level of 99% by related
    logical packaging, this does not mean the device is completely used.
    No logical report package will begin, continuing until all usable LUTs
    and FFs are busy.  According to your timing budget, an increase in the concentrations of
    logical packaging unrelated can adversely affect the performance of timing set
    your design.
    Mapping performed.
    See the report of map file "toplevel_gen_map.mrp" for more details.
    Problem encountered during the packaging phase.
    Failure of the process 'Map '.

    Start time: 18:29:23
    End time: 18:44:42
    Total time: 00:15:19

    Can someone tell me why this error came?

    Thank you best regards &,.

    Vipin Ahuja

    Vipin Hello,

    It seems that your code requires more resources that are available on the FPGA. Optimizing your code can help to solve your problem:

    You may have noticed some "Overmapping", mentioned in the newspaper:

    Number of 4-input lut: 16 104 on 15 360 104% (OVERMAPPED)
    Distribution of logic:
    Number of slots occupied: 8 744 7 680 113% (OVERMAPPED)

    Take a look at this article:

    http://digital.NI.com/public.nsf/allkb/060BA89FE3A0119E48256E850048FFFE?OpenDocument

    And this:

    http://digital.NI.com/public.nsf/WebSearch/311C18E2D635FA338625714700664816?OpenDocument

    Kind regards

    Navjodh

    National Instruments

  • FPGA - Successful Compilation but error 89: full file system

    Hello friends,

    at the end of my last compilation of the server compile reported an 89 error: complete file system. Anyone know what is the file system?

    Maybe the Flash to the bitfiles? But this will be erased automatically with each new download bitfile.

    Note that the compilation was successful and only 89% of the slices when used. Therefore, it remains the space available (FFs and LUTs).

    Finally are there limitations on the use of IO-nodes or FIFO?

    Material: cRIO 9002 with 9101 FPGA Chassis.

    Thank you for helping.

    Marco

    Hard drive was out of free space. After the liberation on 100Mbytes, there was enough space to compile successfully.

    Thank you

    Marco

  • FPGA compilation error

    I'm converting a piece of code from 8.5 to 2011.  When I tried to compile the fpga vi to a bit file, I get the error attached.  Why is this?  Thank you!

    The thing is that the only solution that I found about this error is to install a patch or repair/reinstall Xilinx or LabVIEW FPGA tools.

    Christian

  • FPGA compilation error sbRIO 9632

    Hi guys,.

    I'm trying to write a simple piece of code to send a PWM signal to a PIN on my sbRIO-9632 (starter kit 2.0) to order a servo. I used the example of 'control a servo using PWM' and my code is pretty much the same. When I try to generate/compile the FPGA VI, it stops after only 4 to 6 minutes, saying an error has occurred. The compilation appears to hang during the process of the "card".

    The example is for a sbRIO-9631 so I created a new project for my robot Robotics and changed the PIN e/s that I use (Port5/DIO9). I search through forums but cannot find a solution to this :/

    I have attached my code and the XilinxLog file with it.

    Thanks for any help!

    MortZ

    Hello

    Sorry for the late reply! Have you made progress?

    Unfortunately, your zip file seems to be disabled on my PC. How it has been compressed?

    So what I meant by remove the digital Pulse.vi to generate, is to see if it affected the compilation. Please see if you can compile the FPGA code with the following approach:

    Create the new project > add your target sbRIO > add the VI attached to this post to your FPGA target > compile

    I think it would be beneficial to you to see if the problem is the compilation itself or the code you are trying to compile.

    In addition, you have any another available PC? Might be a good idea to see if your code compiles on another PC.

    Please make sure you have LabVIEW Real-time and LabVIEW FPGA installed (it should come with the Robotics module, but I recommend that you check that they have indeed been included).

    If the build process fails to map no matter what you do, I propose the following: make sure that the target passes a self-test of MAX. reinstall the Xilinx tools (only if it seems that the issue is not with the code, but with the compilation process itself).

    Good luck

    Best regards

    Christopher

  • Violation of compilation-Timing error FPGA

    Hi all

    I've been LV around for years, but I am a complete newbie when it comes to FPGA. I'm working on programming for a 9651 (SOM) using the Dev kit. I'm starting by small steps, but already tripped. I have a simple VI which retrieves a value from a FIFO and passes it réécrirait a different FIFO. When compiling, it gives an error of timing violation, and I don't know how to study. The VI is attached.

    If background for the curious... I'm working on the side of our application to signal processing. I'm passing data from a prerecorded TDMS file to a FIFO. I want to send the FPGA, treat it and send it back. Eventually, it will come of I/O, but for now, I just want to work on the processing of the signal. Before starting work, I thought I'd just make sure I can transfer data to the bottom and back. Once I get this job, I'll start to developing processing screw for between the two.

    Thank you!

    Hi thutch79,

    You can specify the version of LabVIEW you use and implementing CLIP half bridge that you use for the IO DevKit?

    It was a timing violation introduced between LabVIEW 2014 and 2015, given the way the compiler Xilinx handled VHDL which takes in charge the second port Ethernet on SOM.  If you use a CLIP half bridge that has been generated before 2015 LabVIEW (as the example of the expedition which I think begins with a CLIP called "DevKit"), then you can get a timing error.  There should be a second sample CLIP called DevKit2, I think, which was regenerated with compatibility for 2015 of LabVIEW and later versions.

    This problem has been discussed here: https://decibel.ni.com/content/thread/42711

    You can check your half-bridge CLIP in the project by ensuring that you have selected the version called DevKit2 if you have a version of LabVIEW FPGA which is 2015 or newer.

    Kind regards

  • DMA FIFO of FPGA compilation error

    Hello

    I have a cRIO 9074 with FPGA. I tried a simple piece of code to learn how to acquire the data that is generated on the FPGA at a rate of 10 KHz and transfer it to the host VI to treat later in offline mode. However, I meet this compilation error when you compile the FPGA VI base containing a node to write FIFO (photo of the VI attached below). In compiling the report, it is said that there are 256 RAM Block used (the total number is 40), so an error has occurred. The error notification of exact compilation from Xilinx report is reproduced below:

    # From the PAM program

    # o toplevel_gen_map.ncd - intstyle xflow-calendar toplevel_gen.ngd map

    toplevel_gen. FCP

    #----------------------------------------------#

    "Target part using" 3s2000fg456-4 ".

    Design of mapping in the lut...

    Guided running of packaging...

    Running based on the delay of packaging of LUT...

    ERRORack:2310 - type compositions "RAMB16" too found to adapt to this device.

    ERROR: card: 115 - the design is too large to fit the device.  Please consult the Design summary section to see what resource requirements for your design exceeds the resources available in the device. Note that the number of slices don't notice it that their packaging could not be completed accurately.

    NOTE: A file NCD will be always generated to allow you to examine the mapped design.  This file is intended for evaluation use only and will not be processed successfully by means of BY.

    Mapping performed.

    See the report of map file "toplevel_gen_map.mrp" for more details.

    Problem encountered during the packaging phase.

    Summary of design

    --------------

    Error number: 2

    Number of warnings: 125

    ERROR: Xflow - card program returned the error 2 code. Aborting the workflow execution...

    Bitstream not created

    Time history analysis

    What does that mean? How can I fix this error?

    Thank you

    Bogdan

    Hey,.

    Have you already tried to reduce the size of the FIFO memory?

    Christian

  • LabVIEW FPGA compile Cloud Computing Service stuck on the production of carrots

    Hello

    Since I was on windows 8 I do use cloud server compile compile my screws

    However, lately he has been slain a lot during production of carrots.

    Is that what I can do to get rid of this problem? Sometimes it just doenst seem to compile at all but sometimes it compiles. It's embarrassing because I rely on this service.

    Any advice?

    How long compiling stuck there? If your application requires a number of hearts, it can take a long time to generate all the necessary support files. For some large FPGA, this party may take several hours the first time you hit a new compilation/instance machine. Fortunately, the carrots are cached, so maybe why it is sometimes faster, depends on which machines your compilation is striking.

Maybe you are looking for

  • How to hang up after you left the screen of the phone

    Sometimes when I talk on my iPhone I leave the phone screen to access another application.  When I finished speaking, I can never return to the screen of the phone to hang up. Just go back to the phone application does not seem to do. Sounds like a s

  • Spectrum 13.3 x 360: 10 Windows user profile Service service has sign-in

    Hello! I can't sign in my office on my laptop. I get an error "Unable to load the user profile." I can't get into Windows to change the registry.  The laptop goes directly from the HP logo to the Windows login screen.

  • 110 000z: Internet Explorer

    When I go online, I receive a "Internet Explorer cannot display the web page", I did everything that Says "launch HP network Check." It is said the samething when I try again. Could you help me. I got "McAfee". I just trough that in.

  • JO HP 6500 has more 'fax function disabeled.

    I have a HP 6500 has JO more I bought several years ago. I used the function of fax for a while because I had a landline. Got rid of the landline so didn't use do not fax on the printer. I don't remember if I've done something somewhere, but now I ge

  • HP 14-g003au: Bluetooth does not

    I can not useBluetooth... Please tell me how to fix it Sir... Bluetooth also here but this icon works don't no how I can fix it help me please